江湖人称纪博大 2021-09-03 16:19 采纳率: 87.5%
浏览 398
已结题

如何用verilog语言实现对一段信号脉冲个数和脉冲宽度的统计呢?

初步学习verilog,目前需要做的工作是 采集到一段信号。想要统计这段信号的脉冲个数,以及脉冲宽度的分布情况。
初步想法是通过对该段信号的上升沿检测,检测到上升沿就计数+1,可以最终得到脉冲个数。
然后检测下降沿,通过下降沿的时间减去上升沿的时间可以得到脉冲宽度,并且也存放起来。
目前只是单纯的实现了上升沿和下降沿的检测。

module edge_detect(
    input clk,
    input rst,
    input sig,
    output pos_edge,
    output neg_edge
);

reg sig_r1,sig_r2; //2级寄存器
reg cnt;           //对上升沿进行计数
always @(posedge clk) begin   //复位
    if (rst) begin
        sig_r1 <= 1'b0;
        sig_r2 <= 1'b0;
        end
        else begin
            sig_r1 <= sig;
            sig_r2 <= sig_r1;
        end
end

  • 写回答

2条回答 默认 最新

  • 老皮芽子 2021-09-04 14:05
    关注
    
    
    module edge_detect(
        input clk,
        input rst,
        input sig,
        output pos_edge,
        output neg_edge
    );
    reg sig_r1,sig_r2; //2级寄存器
    reg [15:0]    cnt_r = 0;      //对上升沿进行计数
    reg [15:0]    cnt_s = 0;        //计数结果
    reg    pos_edge_r = 0;
    reg    neg_edge_r = 0;
    
    assign    pos_edge = pos_edge_r;    //上升沿脉冲比实际的 sig 迟后 2 个clk 和 sig_r2 对齐
    assign    neg_edge = neg_edge_r;    //下降沿脉冲比实际的 sig 迟后 2 个clk 和 sig_r2 对齐
    
    always @(posedge clk) begin   //复位
        if (rst) begin
            sig_r1 <= 1'b0;
            sig_r2 <= 1'b0;
            end
            else begin
                sig_r1 <= sig;
                sig_r2 <= sig_r1;
            end
    end
    
    always @(posedge clk)
    begin
        if (rst)
        begin
            pos_edge_r    <= 1'b0;
        end
        else if(sig_r1 != sig_r2 && sig_r1 == 1'b1) //上升沿
        begin
            pos_edge_r    <= 1'b1;
        end
        else
        begin
            pos_edge_r    <= 1'b0;
        end
    end
    
    always @(posedge clk)
    begin
        if (rst)
        begin
            neg_edge_r    <= 1'b0;
        end
        else if(sig_r1 != sig_r2 && sig_r1 == 1'b0) //下降沿
        begin
            neg_edge_r    <= 1'b1;
        end
        else
        begin
            neg_edge_r    <= 1'b0;
        end
    end
    
    always @(posedge clk)
    begin
        if (rst)
        begin
            cnt_r <= 16'b0;
        end
        else if(sig_r2 == 1)
        begin
            cnt_r <= cnt_r + 1;
        end
        else
        begin
            cnt_r <= 16'b0;
        end
    end
    
    always @(posedge clk)
    begin
        if (rst)
        begin
            cnt_s <= 16'b0;
        end
        else if(neg_edge_r == 1)
        begin
            cnt_s <= cnt_r;
        end
    end
    
    endmodule
    
    本回答被题主选为最佳回答 , 对您是否有帮助呢?
    评论
查看更多回答(1条)

报告相同问题?

问题事件

  • 系统已结题 10月13日
  • 已采纳回答 10月5日
  • 创建了问题 9月3日

悬赏问题

  • ¥15 用visual studi code完成html页面
  • ¥15 聚类分析或者python进行数据分析
  • ¥15 逻辑谓词和消解原理的运用
  • ¥15 三菱伺服电机按启动按钮有使能但不动作
  • ¥15 js,页面2返回页面1时定位进入的设备
  • ¥50 导入文件到网吧的电脑并且在重启之后不会被恢复
  • ¥15 (希望可以解决问题)ma和mb文件无法正常打开,打开后是空白,但是有正常内存占用,但可以在打开Maya应用程序后打开场景ma和mb格式。
  • ¥20 ML307A在使用AT命令连接EMQX平台的MQTT时被拒绝
  • ¥20 腾讯企业邮箱邮件可以恢复么
  • ¥15 有人知道怎么将自己的迁移策略布到edgecloudsim上使用吗?