我想起高兴的事 2021-10-26 16:32 采纳率: 100%
浏览 71
已结题

初学者,51单片机一个简单的问题

我遇见的问题是不知道如何给单独的io口赋值,我打算先给P0^2先赋1,P0^1赋0
第一次用这个软件,如果有什么问题请各位大佬指正

第一张图片是要求
第二张是仿真电路图

img

img


这是我设计的程序
#include <reg51.h>
sbit k1=P0^0;
sbit motorin1=P0^2;
sbit motorin2=P0^1;
//怎么给motorin1或者P0^2赋值,使其初始等于1
//怎么给motorin2或者P0^1赋值,使其初始等于0

void main(void)
{
while (1)
{

if (k1==0)//按钮按下
{ motorin1=取反motorin1;
motorin2=取反motorin2;//取反实现0变为1,1变为0,来实现 单个按钮控制电机正反转效果(那个~显示不出来))
}}}

这样子还是不行,是什么错误

img

  • 写回答

2条回答 默认 最新

  • dceacho 2021-10-26 18:54
    关注
    
    #include <reg51.h>
    sbit k1=P0^0;
    sbit motorin1=P0^2;
    sbit motorin2=P0^1;
    //怎么给motorin1或者P0^2赋值,使其初始等于1
    //怎么给motorin2或者P0^1赋值,使其初始等于0
    void main(void)
    {
    motorin1=1;
    motorin2=0;//可以放这里初始化
    while (1)
    {
    if (k1==0)//按钮按下
    { motorin1=取反motorin1;
    motorin2=取反motorin2;//取反实现0变为1,1变为0,来实现 单个按钮控制电机正反转效果(那个~显示不出来))
    }}}
    
    本回答被题主选为最佳回答 , 对您是否有帮助呢?
    评论
查看更多回答(1条)

报告相同问题?

问题事件

  • 已结题 (查看结题原因) 10月27日
  • 已采纳回答 10月26日
  • 修改了问题 10月26日
  • 修改了问题 10月26日
  • 展开全部

悬赏问题

  • ¥15 基于卷积神经网络的声纹识别
  • ¥15 Python中的request,如何使用ssr节点,通过代理requests网页。本人在泰国,需要用大陆ip才能玩网页游戏,合法合规。
  • ¥100 为什么这个恒流源电路不能恒流?
  • ¥15 有偿求跨组件数据流路径图
  • ¥15 写一个方法checkPerson,入参实体类Person,出参布尔值
  • ¥15 我想咨询一下路面纹理三维点云数据处理的一些问题,上传的坐标文件里是怎么对无序点进行编号的,以及xy坐标在处理的时候是进行整体模型分片处理的吗
  • ¥15 CSAPPattacklab
  • ¥15 一直显示正在等待HID—ISP
  • ¥15 Python turtle 画图
  • ¥15 stm32开发clion时遇到的编译问题