Florence_XXX 2021-12-26 15:11 采纳率: 100%
浏览 325
已结题

verilog中Logic for shiftR[7:0] does not match a standard flip-flop怎么解决?

这个是跑马灯的设计,我想的是右移来实现,仿真能通过,但是在综合时候就报错了
Logic for shiftR[7:0] does not match a standard flip-flop

module mode( clk1,clk2,clk3,reset,Yin,Pout );
input clk1,clk2,clk3,reset;
input [3:0]Yin;
output [7:0]Pout;
reg [7:0]shiftR;
reg [15:0]mode2;
reg [7:0]mode31;
reg [7:0]mode32;

always @(posedge clk1 or posedge reset) 
    begin
         if(Yin[0])
            begin
                if (reset) shiftR<=8'b01010101;
                    else begin
                        shiftR<={shiftR[0],shiftR[7:1]};
                    end
            end
    end


always @(posedge clk2 or posedge reset)
    begin
        if(Yin[1])
            begin
                if(reset) 
                    begin mode2<=16'b0000000011111111;
                        shiftR<=mode2[15:8];
                    end
                else begin
                        mode2<={mode2[0],mode2[15:1]};
                        shiftR<=mode2[15:8];
                    end
            end
    end
always @(posedge clk3 or posedge reset)
    begin
        if(Yin[2])
            begin
                if(reset)
                    begin
                        mode31<=8'b11110000;
                        mode32<=8'b00001111;
                        shiftR<=8'b00000000;
                    end
                else begin
                        mode31<={mode31[0],mode31[7:1]};
                        mode32<={mode32[6:0],mode32[7]};
                        shiftR<={mode31[3:0],mode32[7:4]};
                    end
            end
    end   

                
assign Pout=shiftR;


endmodule

  • 写回答

1条回答 默认 最新

  • 老皮芽子 2021-12-26 15:45
    关注

    shiftR 在多个 always 中赋值,是不允许的,相当于多个芯片同时驱动同一个led有的让led亮有的让led灭,信号打架了,短路了,是不允许的。

    本回答被题主选为最佳回答 , 对您是否有帮助呢?
    评论

报告相同问题?

问题事件

  • 系统已结题 1月3日
  • 已采纳回答 12月26日
  • 创建了问题 12月26日

悬赏问题

  • ¥15 求指导ADS低噪放设计
  • ¥15 CARSIM前车变道设置
  • ¥50 三种调度算法报错 有实例
  • ¥15 关于#python#的问题,请各位专家解答!
  • ¥200 询问:python实现大地主题正反算的程序设计,有偿
  • ¥15 smptlib使用465端口发送邮件失败
  • ¥200 总是报错,能帮助用python实现程序实现高斯正反算吗?有偿
  • ¥15 对于squad数据集的基于bert模型的微调
  • ¥15 为什么我运行这个网络会出现以下报错?CRNN神经网络
  • ¥20 steam下载游戏占用内存