PreferLi 2022-02-25 22:13 采纳率: 100%
浏览 34
已结题

Verilog HDL程序遇到的输出问题

问题遇到的现象和发生背景

目标:统计三位输入中“1”的个数并输出。
我的思路:分别设置一个比较器(3位)和计数器(2位),利用循环结构、if条件和移位运算符让比较位按位与输入信号进行比较,当满足条件时计数器值+1,循环至所有位数比较完为止。
遇到的错误:输出结果错误。

问题相关代码

module top_module(
input [2:0] in, //三位输入
output [1:0] out //统计输出
);
integer i;
always@(*)
begin
reg [1:0] counter = 0; //计数器,用于在循环中临时保存“1”的个数
reg [2:0] compare = 3'b001; //比较器,比较位为1,其余位为0
for(i=0;i<3;i=i+1)
begin
if(compare[i] == in[i])
counter = counter + 1; //当if条件为真时,计数器
compare = compare << 1; //比较位左移1位
end
out = counter; //循环结束后输出统计结果
end
endmodule

运行结果及报错内容

img

  • 写回答

1条回答 默认 最新

  • 老皮芽子 2022-02-26 09:09
    关注
    
    
    module top_module
    (
        input [2:0]        in, //三位输入
        output [1:0]    out //统计输出
    );
        integer i;
        reg [1:0] counter = 0; //计数器,用于在循环中临时保存“1”的个数
    
        always@(*)
        begin
            counter = 0;
            for(i=0;i<3;i=i+1)
            begin
                counter = counter + in[i]; //当if条件为真时,计数器
            end
        end
        
        assign    out = counter; //循环结束后输出统计结果
    endmodule
    
    本回答被题主选为最佳回答 , 对您是否有帮助呢?
    评论

报告相同问题?

问题事件

  • 系统已结题 3月6日
  • 已采纳回答 2月26日
  • 修改了问题 2月25日
  • 创建了问题 2月25日

悬赏问题

  • ¥15 请提供一个符合要求的网页链接。
  • ¥20 用HslCommunication 连接欧姆龙 plc有时会连接失败。报异常为“未知错误”
  • ¥15 网络设备配置与管理这个该怎么弄
  • ¥20 机器学习能否像多层线性模型一样处理嵌套数据
  • ¥20 西门子S7-Graph,S7-300,梯形图
  • ¥50 用易语言http 访问不了网页
  • ¥50 safari浏览器fetch提交数据后数据丢失问题
  • ¥15 matlab不知道怎么改,求解答!!
  • ¥15 永磁直线电机的电流环pi调不出来
  • ¥15 用stata实现聚类的代码