Curtain870 2022-03-09 11:30 采纳率: 0%
浏览 45

fpga多输入传输延时?以及如何同步输入

一个fpga产生的输入信号传给多个fpga 这些fpga都为输入 要保证这些输入同步。由于信号传输时经过缆线会有延时 如何在软件上(不用示波器)确定延时的具体大小 ?如何使输入信号同步输入?

  • 写回答

3条回答 默认 最新

  • 老皮芽子 2022-03-09 13:32
    关注

    你可以阅读下关于FPGA 管脚约束的文档
    一般组合逻辑下这些延约束很麻烦,组合逻辑下也很难实现高速逻辑。
    一般用同步方式去处理,比如一个总线是由一个时钟和8个数据线组成,要求这8个数据线输入同步。
    这可以用简单的管脚约束就能实现这8个数据线同步到达FPGA内部的逻辑。
    比如可以这么约束
    ##pclk 100MHz
    create_clock -period 10.0 -name pclk [get_ports pclk]
    set_input_delay -clock [get_clocks pclk] -add_delay 0.000 [get_ports dat[*]]

    评论

报告相同问题?

问题事件

  • 创建了问题 3月9日

悬赏问题

  • ¥15 is not in the mmseg::model registry。报错,模型注册表找不到自定义模块。
  • ¥15 安装quartus II18.1时弹出此error,怎么解决?
  • ¥15 keil官网下载psn序列号在哪
  • ¥15 想用adb命令做一个通话软件,播放录音
  • ¥30 Pytorch深度学习服务器跑不通问题解决?
  • ¥15 部分客户订单定位有误的问题
  • ¥15 如何在maya程序中利用python编写领子和褶裥的模型的方法
  • ¥15 Bug traq 数据包 大概什么价
  • ¥15 在anaconda上pytorch和paddle paddle下载报错
  • ¥25 自动填写QQ腾讯文档收集表