aabbccddeer 2022-03-12 00:39 采纳率: 0%
浏览 64
已结题

请教关于STM32L475关于SRAM2使用问题。

STM32L475有两个SRAM
SRAM1内存地址为0x20000000-0x20018000(96K),
SRAM2的地址为0x10000000-0x10000800(32K)。
想做个实验看看能否使用SRAM2,遇到一个奇怪问题,有时候定义在SRAM2上的数组编译不过,有时候能过。现象如下:

首先在配置中把SRAM2勾选上

img

定义两个数组如下图所示:

img

这样就编译不过!提示信息如下:

img


但是,我把test1[]数组在定义的时候初始化一下,这样就会编译通过!如下图

img


查了好久没查到原因,到底为什么?

  • 写回答

1条回答 默认 最新

  • aabbccddeer 2022-03-15 10:10
    关注

    看来这个网站也不行啊,都没人回答...甚至都没人浏览......

    本回答被题主选为最佳回答 , 对您是否有帮助呢?
    评论

报告相同问题?

问题事件

  • 系统已结题 3月23日
  • 已采纳回答 3月15日
  • 创建了问题 3月12日

悬赏问题

  • ¥30 Matlab打开默认名称带有/的光谱数据
  • ¥50 easyExcel模板 动态单元格合并列
  • ¥15 res.rows如何取值使用
  • ¥15 在odoo17开发环境中,怎么实现库存管理系统,或独立模块设计与AGV小车对接?开发方面应如何设计和开发?请详细解释MES或WMS在与AGV小车对接时需完成的设计和开发
  • ¥15 CSP算法实现EEG特征提取,哪一步错了?
  • ¥15 游戏盾如何溯源服务器真实ip?需要30个字。后面的字是凑数的
  • ¥15 vue3前端取消收藏的不会引用collectId
  • ¥15 delphi7 HMAC_SHA256方式加密
  • ¥15 关于#qt#的问题:我想实现qcustomplot完成坐标轴
  • ¥15 下列c语言代码为何输出了多余的空格