为什么我vhdl编译一直出现这个问题,还不显示错误原因,我搞了好久了
关注
码龄 粉丝数 原力等级 --
- 被采纳
- 被点赞
- 采纳率

已结题
vhdl编译出现好久的问题,一直无法解决
为什么我vhdl编译一直出现这个问题,还不显示错误原因,我搞了好久了
收起
- 写回答
- 好问题 0 提建议
- 关注问题
微信扫一扫
点击复制链接分享
- 邀请回答
- 编辑 收藏 删除
- 收藏 举报
1条回答 默认 最新
- 关注
码龄 粉丝数 原力等级 --
- 被采纳
- 被点赞
- 采纳率
xuxiulive 2022-04-18 16:15关注代码有语法错误
本回答被题主选为最佳回答 , 对您是否有帮助呢? 本回答被专家选为最佳回答 , 对您是否有帮助呢? 本回答被题主和专家选为最佳回答 , 对您是否有帮助呢?解决 无用评论 打赏举报微信扫一扫
点击复制链接分享
评论按下Enter换行,Ctrl+Enter发表内容
报告相同问题?
提交
- 2022-05-15 10:57回答 1 已采纳 entity h_adder is port(A : in std_logic ;B : out std_logic;SO : out std_logic;CO : out std_logic
- 2022-05-22 21:06回答 1 已采纳 不懂VHDL,盲猜是NXT写成NEXT导致错误:
- 2022-11-15 21:37回答 2 已采纳 必须写到一个process,或者另外一个process给一个信号到这个process,再分情况付值
- 2025-03-18 00:59硬件开发是一个复杂且系统的过程,以下是一份详细的硬件开发教程,涵盖了从基础到进阶的各个方面: ### 一、硬件开发基础 1. **电子技术基础** * 了解电子元件的性能和工作原理,如电阻、电容、电感、二极管、...
- 2022-10-11 16:11回答 1 已采纳 module key_new( input wire sclk, input wire rst_n,
- 2022-08-30 21:30回答 2 已采纳 在网上down的源码有些时候要自己来编译,用Quartus来编译VHDL的源码,当有package的时候,不能像在ISE中那样直接用work.packagename.all就可以把package包含进
- 2021-10-14 10:04回答 1 已采纳 1: 这是个 2 输入与非门,并且将 2 个输入 A,B 连在一起合并成 1 个输入,这个输入始终是 A = B 的,不会存在 A 不等于 B 现象,你画的红圈的逻辑是不存在的。2: NOT 为什么
- 2021-08-20 21:28Quartus 是 Altera 公司(现在属于 Intel)推出的一款 FPGA 开发平台,提供了一个集成的开发环境,用于设计、仿真、编译和烧写 FPGA 芯片。Quartus 平台支持 VHDL 语言,用户可以使用 VHDL 语言编写代码,并使用 ...
- 2023-04-11 11:20回答 2 已采纳 以下内容部分参考ChatGPT模型: 半减器的VHDL描述: library ieee; use ieee.std_logic_1164.all; entity half_subtractor i
- 2022-05-02 21:45回答 1 已采纳 VHDL入门/解惑/经典实例/经验总结, 北航出版社, 黄任
- 2023-03-09 10:53回答 6 已采纳 该回答引用GPTᴼᴾᴱᴺᴬᴵ以下是基于 VHDL 语言实现八路彩灯的仿真代码: library IEEE; use IEEE.STD_LOGIC_1164.ALL; use IEEE.STD_LOGI
- 2022-07-15 10:53在电子设计自动化(EDA)领域,VHDL是一种广泛应用的硬件描述语言,用于设计和实现数字逻辑系统。本文将深入探讨“fp_prj.zip_vhdl speech_开发频fp6016”项目中的核心概念,包括VHDL编程、语音处理以及与FPGA开发...
- 2021-08-12 01:54单片机开发是电子工程和计算机科学领域中的一个重要分支,主要涉及微控制器的程序设计、硬件接口编程以及系统集成。VHDL(Very High Speed Integrated Circuit Hardware Description Language)则是一种用于数字逻辑...
- 2021-08-11 19:04开发流程包括硬件选型、电路设计、编写程序、编译、下载到单片机并进行调试。 2. **VHDL**:VHDL是一种硬件描述语言,用于描述数字系统的结构和行为。它可以用来设计逻辑门、触发器、寄存器、ALU等基本逻辑单元,也...
- 2021-08-11 16:00在这些场景中,FIFO能有效地解决数据传输速率不匹配的问题,保证数据的连续性和完整性。 6. 文件列表:“读写FIFO”可能包含的文件: 这个文件很可能包含了VHDL源代码文件,用于实现68013 FIFO的逻辑;可能还有...
- 2021-08-11 14:28标题中的"EP1C3.rar_单片机开发_VHDL_"揭示了这个压缩包文件主要涉及的内容,即使用EP1C3芯片进行单片机开发,并且开发过程中采用了VHDL(Very High Speed Integrated Circuit Hardware Description Language)语言...
- 2021-08-11 16:48标题中的“DCNT60.rar_单片机开发_VHDL_”暗示了这是一个与单片机开发相关的项目,特别是涉及到数字逻辑设计的VHDL编程。VHDL(Very High Speed Integrated Circuit Hardware Description Language)是一种硬件描述...
- 2021-08-12 04:51VHDL(VHSIC Hardware Description Language),即超大规模集成电路硬件描述语言,是用于电子设计自动化的一种程序设计语言,常用于数字逻辑电路的设计、仿真和综合。 在“LM3S101.rar”压缩包中包含的“LM3S101....
- 2021-08-20 21:28Quartus是Altera公司(现Intel FPGA部门)的一款集成开发环境,用于VHDL设计的编译、仿真、综合和配置。 在这个名为"YBD"的VHDL源代码中,我们看到一个异步D触发器的实现。D触发器是一种基本的数字逻辑电路,用于...
- 没有解决我的问题, 去提问