m0_70128709 2022-05-15 10:57 采纳率: 100%
浏览 16
已结题

关于#vhdl#的问题,如何解决?

img


这是个什么问题,初学VHDL实在是解决不了,希望有人教教我。

  • 写回答

1条回答 默认 最新

  • hhh_fpga 2022-05-16 08:49
    关注

    entity h_adder is
    port(
    A : in std_logic ;
    B : out std_logic;
    SO : out std_logic;
    CO : out std_logic
    };
    end entity h_adder;

    注意"CO : out std_logic "后面是没有“;”的。你就是这里多了一个分号。

    本回答被题主选为最佳回答 , 对您是否有帮助呢?
    评论

报告相同问题?

问题事件

  • 系统已结题 6月8日
  • 已采纳回答 5月31日
  • 创建了问题 5月15日

悬赏问题

  • ¥20 西门子S7-Graph,S7-300,梯形图
  • ¥50 用易语言http 访问不了网页
  • ¥50 safari浏览器fetch提交数据后数据丢失问题
  • ¥15 matlab不知道怎么改,求解答!!
  • ¥15 永磁直线电机的电流环pi调不出来
  • ¥15 用stata实现聚类的代码
  • ¥15 请问paddlehub能支持移动端开发吗?在Android studio上该如何部署?
  • ¥20 docker里部署springboot项目,访问不到扬声器
  • ¥15 netty整合springboot之后自动重连失效
  • ¥15 悬赏!微信开发者工具报错,求帮改