道土 2022-05-22 21:06 采纳率: 71.4%
浏览 92
已结题

关于VHDL设计,代码报错的一个问题该怎么解决

问题遇到的现象和发生背景

程序编译时软件报错

问题相关代码,请勿粘贴截图

PROCESS (CLK, CUR, SET_T, START, TEST, DONE)
BEGIN
NEXT<=IDLE; (第26行报错的地方)
LD_TEST<='0';
LD_DONE<='0';
LD_CLK<='0';
COOK<='0';
CASE CUR IS

img

运行结果及报错内容

Error (10500): VHDL syntax error at controller.vhd(26) near text "<="; expecting ";", or an identifier, or "when"

我的解答思路和尝试过的方法

不知道该怎么修改

我想要达到的结果

怎么才能解决这个报错

  • 写回答

1条回答 默认 最新

  • 树下等苹果 2022-05-22 21:15
    关注

    不懂VHDL,盲猜是NXT写成NEXT导致错误:

    img

    本回答被题主选为最佳回答 , 对您是否有帮助呢?
    评论

报告相同问题?

问题事件

  • 系统已结题 5月30日
  • 已采纳回答 5月22日
  • 创建了问题 5月22日

悬赏问题

  • ¥15 metadata提取的PDF元数据,如何转换为一个Excel
  • ¥15 关于arduino编程toCharArray()函数的使用
  • ¥100 vc++混合CEF采用CLR方式编译报错
  • ¥15 coze 的插件输入飞书多维表格 app_token 后一直显示错误,如何解决?
  • ¥15 vite+vue3+plyr播放本地public文件夹下视频无法加载
  • ¥15 c#逐行读取txt文本,但是每一行里面数据之间空格数量不同
  • ¥50 如何openEuler 22.03上安装配置drbd
  • ¥20 ING91680C BLE5.3 芯片怎么实现串口收发数据
  • ¥15 无线连接树莓派,无法执行update,如何解决?(相关搜索:软件下载)
  • ¥15 Windows11, backspace, enter, space键失灵