Mr·GE 2022-10-09 23:56 采纳率: 53.1%
浏览 11
已结题

verilog 语法问题

img


刚开始学习Verilog,图中这样的,为什么放在else和begin之间就是错误的,报的错误是

img


这是为什么啊?

  • 写回答

1条回答 默认 最新

  • 老皮芽子 2022-10-10 07:27
    关注

    放上面错误,else 逻辑后面只有这一条语句有效的执行了else 逻辑。
    放下面正确,else 逻辑后面这一堆语句均有效的执行了else 逻辑。

    本回答被题主选为最佳回答 , 对您是否有帮助呢?
    评论

报告相同问题?

问题事件

  • 系统已结题 10月18日
  • 已采纳回答 10月10日
  • 创建了问题 10月9日

悬赏问题

  • ¥15 优质github账号直接兑换rmb,感兴趣伙伴可以私信
  • ¥15 错误(10048): “调用exui内部功能”库命令的参数“参数4”不能接受空数据。怎么解决啊
  • ¥15 安装svn网络有问题怎么办
  • ¥15 Python爬取指定微博话题下的内容,保存为txt
  • ¥15 vue2登录调用后端接口如何实现
  • ¥65 永磁型步进电机PID算法
  • ¥15 sqlite 附加(attach database)加密数据库时,返回26是什么原因呢?
  • ¥88 找成都本地经验丰富懂小程序开发的技术大咖
  • ¥15 如何处理复杂数据表格的除法运算
  • ¥15 如何用stc8h1k08的片子做485数据透传的功能?(关键词-串口)