qq_52736219 2022-10-16 18:32 采纳率: 100%
浏览 1523
已结题

vivado2018在implementatian报错为黑匣子问题

vivado2018在implementation时遇见以下问题:[DRC INBB-3] Black Box Instances: Cell 'filter_progress' of type 'filter_333' has undefined contents and is considered a black box. The contents of this cell must be defined for opt_design to complete successfully.
从百度上找了半天也没有找到有效的回答,恳请大家帮帮忙

  • 写回答

1条回答 默认 最新

  • Fantasy237 2022-10-16 19:59
    关注

    filter_333这个模块vivado没找到,所以不知道具体怎么做Place&Route。
    之前我遇到过这种情况是只定义了端口,没有具体实现,所以就被当做black box。把这个模块的网表加上就成功了。
    要看你这个模块是怎么实现的,源码、网表等,需要提供。看下文件是不是放错位置了。

    本回答被题主选为最佳回答 , 对您是否有帮助呢?
    评论

报告相同问题?

问题事件

  • 系统已结题 10月24日
  • 已采纳回答 10月16日
  • 创建了问题 10月16日

悬赏问题

  • ¥15 metadata提取的PDF元数据,如何转换为一个Excel
  • ¥15 关于arduino编程toCharArray()函数的使用
  • ¥100 vc++混合CEF采用CLR方式编译报错
  • ¥15 coze 的插件输入飞书多维表格 app_token 后一直显示错误,如何解决?
  • ¥15 vite+vue3+plyr播放本地public文件夹下视频无法加载
  • ¥15 c#逐行读取txt文本,但是每一行里面数据之间空格数量不同
  • ¥50 如何openEuler 22.03上安装配置drbd
  • ¥20 ING91680C BLE5.3 芯片怎么实现串口收发数据
  • ¥15 无线连接树莓派,无法执行update,如何解决?(相关搜索:软件下载)
  • ¥15 Windows11, backspace, enter, space键失灵