陈晖洁的首席小迷弟 2022-10-16 21:55 采纳率: 33.3%
浏览 34
已结题

编写Verilog中assign和always中间出现的问题

Verilog 中在尝试always和assign的时候发生的冲突

img


最初的代码是这样的,但是在仿真的时候“led”以00,和01的形式显示
想要led直接用0或1的形式显示要用assign
但是尝试了很多方法之后发现放不进去

img


最下面三句assign无论放在always里面哪里都会报错,但是如果放在外面会因为重复利“led”报错,想问一下有没有什么方法可以进行修改

  • 写回答

1条回答 默认 最新

  • 老皮芽子 2022-10-16 22:41
    关注
    1. always 中是不能插入 assign 语句 2. led 在 always 中赋值后,就不能在其他的 always 或 assign中赋值 3. 你可以定义一个中间值,再用 assign 赋值 ``` module mx( input a,clk, output led); reg led_r; always@(posedge clk) begin led_r <=a; end assign led=led_r; endmodule ```
    本回答被题主选为最佳回答 , 对您是否有帮助呢?
    评论

报告相同问题?

问题事件

  • 系统已结题 10月25日
  • 已采纳回答 10月17日
  • 修改了问题 10月16日
  • 创建了问题 10月16日

悬赏问题

  • ¥15 求差集那个函数有问题,有无佬可以解决
  • ¥15 【提问】基于Invest的水源涵养
  • ¥20 微信网友居然可以通过vx号找到我绑的手机号
  • ¥15 寻一个支付宝扫码远程授权登录的软件助手app
  • ¥15 解riccati方程组
  • ¥15 display:none;样式在嵌套结构中的已设置了display样式的元素上不起作用?
  • ¥15 使用rabbitMQ 消息队列作为url源进行多线程爬取时,总有几个url没有处理的问题。
  • ¥15 Ubuntu在安装序列比对软件STAR时出现报错如何解决
  • ¥50 树莓派安卓APK系统签名
  • ¥65 汇编语言除法溢出问题