特级茶叶 2022-12-16 11:37 采纳率: 78.6%
浏览 44
已结题

用verilog HDL语法编写

请设计一个50进制的减法计数器(从50计到00),每1 秒减一次,用两个数码管显示计数结果,给出程序设计、软件编译、仿真分析、硬件测试及详细实验过程

  • 写回答

4条回答 默认 最新

  • 爱音斯坦牛 全栈领域优质创作者 2022-12-17 20:22
    关注

    参考:

    module counter50(input clk, input reset, output reg [7:0] count_out);
      reg [4:0] count;
      reg enable;
      
      always @(posedge clk) begin
        if (reset) begin
          count <= 5'b50;
          enable <= 1'b1;
        end else if (enable) begin
          count <= count - 1;
          if (count == 5'b00000) begin
            enable <= 1'b0;
          end
        end
      end
      
      // 计数器输出
      always @* begin
        // 将计数器值转换为 50 进制字符串
        case (count)
          5'b50: count_out <= 8'b00110010;
          5'b49: count_out <= 8'b00110001;
          5'b00: count_out <= 8'b00110000;
        endcase
      end
    endmodule
    
    本回答被题主选为最佳回答 , 对您是否有帮助呢?
    评论
查看更多回答(3条)

报告相同问题?

问题事件

  • 系统已结题 12月26日
  • 已采纳回答 12月18日
  • 创建了问题 12月16日

悬赏问题

  • ¥20 西门子S7-Graph,S7-300,梯形图
  • ¥50 用易语言http 访问不了网页
  • ¥50 safari浏览器fetch提交数据后数据丢失问题
  • ¥15 matlab不知道怎么改,求解答!!
  • ¥15 永磁直线电机的电流环pi调不出来
  • ¥15 用stata实现聚类的代码
  • ¥15 请问paddlehub能支持移动端开发吗?在Android studio上该如何部署?
  • ¥20 docker里部署springboot项目,访问不到扬声器
  • ¥15 netty整合springboot之后自动重连失效
  • ¥15 悬赏!微信开发者工具报错,求帮改