特级茶叶 2022-12-16 11:37 采纳率: 78.6%
浏览 44
已结题

用verilog HDL语法编写

请设计一个50进制的减法计数器(从50计到00),每1 秒减一次,用两个数码管显示计数结果,给出程序设计、软件编译、仿真分析、硬件测试及详细实验过程

  • 写回答

4条回答 默认 最新

  • 爱音斯坦牛 全栈领域优质创作者 2022-12-17 20:22
    关注

    参考:

    module counter50(input clk, input reset, output reg [7:0] count_out);
      reg [4:0] count;
      reg enable;
      
      always @(posedge clk) begin
        if (reset) begin
          count <= 5'b50;
          enable <= 1'b1;
        end else if (enable) begin
          count <= count - 1;
          if (count == 5'b00000) begin
            enable <= 1'b0;
          end
        end
      end
      
      // 计数器输出
      always @* begin
        // 将计数器值转换为 50 进制字符串
        case (count)
          5'b50: count_out <= 8'b00110010;
          5'b49: count_out <= 8'b00110001;
          5'b00: count_out <= 8'b00110000;
        endcase
      end
    endmodule
    
    本回答被题主选为最佳回答 , 对您是否有帮助呢?
    评论
查看更多回答(3条)

报告相同问题?

问题事件

  • 系统已结题 12月26日
  • 已采纳回答 12月18日
  • 创建了问题 12月16日

悬赏问题

  • ¥15 在若依框架下实现人脸识别
  • ¥15 网络科学导论,网络控制
  • ¥100 安卓tv程序连接SQLSERVER2008问题
  • ¥15 利用Sentinel-2和Landsat8做一个水库的长时序NDVI的对比,为什么Snetinel-2计算的结果最小值特别小,而Lansat8就很平均
  • ¥15 metadata提取的PDF元数据,如何转换为一个Excel
  • ¥15 关于arduino编程toCharArray()函数的使用
  • ¥100 vc++混合CEF采用CLR方式编译报错
  • ¥15 coze 的插件输入飞书多维表格 app_token 后一直显示错误,如何解决?
  • ¥15 vite+vue3+plyr播放本地public文件夹下视频无法加载
  • ¥15 c#逐行读取txt文本,但是每一行里面数据之间空格数量不同