请设计一个50进制的减法计数器(从50计到00),每1 秒减一次,用两个数码管显示计数结果,给出程序设计、软件编译、仿真分析、硬件测试及详细实验过程
4条回答 默认 最新
关注 参考:
module counter50(input clk, input reset, output reg [7:0] count_out); reg [4:0] count; reg enable; always @(posedge clk) begin if (reset) begin count <= 5'b50; enable <= 1'b1; end else if (enable) begin count <= count - 1; if (count == 5'b00000) begin enable <= 1'b0; end end end // 计数器输出 always @* begin // 将计数器值转换为 50 进制字符串 case (count) 5'b50: count_out <= 8'b00110010; 5'b49: count_out <= 8'b00110001; 5'b00: count_out <= 8'b00110000; endcase end endmodule
本回答被题主选为最佳回答 , 对您是否有帮助呢?解决 无用评论 打赏 举报
悬赏问题
- ¥20 非root手机,如何精准控制手机流量消耗的大小,如20M
- ¥15 远程安装一下vasp
- ¥15 自己做的代码上传图片时,报错
- ¥15 Lingo线性规划模型怎么搭建
- ¥15 关于#python#的问题,请各位专家解答!区间型正向化
- ¥15 unity从3D升级到urp管线,打包ab包后,材质全部变紫色
- ¥50 comsol温度场仿真无法模拟微米级激光光斑
- ¥15 上传图片时提交的存储类型
- ¥15 VB.NET如何绘制倾斜的椭圆
- ¥15 arbotix没有/cmd_vel话题