weixin_47123165 2023-02-08 12:48 采纳率: 45.5%
浏览 38

fpga的adda转换问题

首先关于AN706(ad7606)模块进行音频采样,输出编码方式为二进制补码,是否说明发送给fpga的数据就是有符号数,所以要在接口定义要声明 input signed [15:0] A?

img

第二关于AN9767(AD9767)输出音频数据,下图的第一列DAC 数据输入值,是否说明从fpga处理后输出给AN9767的数据是无符号数?

img

  • 写回答

1条回答 默认 最新

  • 「已注销」 2023-02-08 14:27
    关注

    把问题报错或者内容发给我看一下

    评论

报告相同问题?

问题事件

  • 创建了问题 2月8日

悬赏问题

  • ¥15 openpcdet自制数据集评估bev精度和3d精度相同
  • ¥15 excel 上下按钮 显示行
  • ¥20 云卓h12pro 数传问题
  • ¥20 请问有人知道怎么用工艺库里面的sdb文件通过virtuoso导出来library里面每个cell的symbol吗?
  • ¥20 海思 nnie 编译 报错
  • ¥50 决策面并仿真,要求有仿真结果图
  • ¥15 关于路由器的路由协议配置
  • ¥15 springboot接入微信支付SDK
  • ¥50 大区域的遥感影像匹配 怎么做啊
  • ¥15 求解答:pytorch跑yolov8神经网络受挫