2201_75873249 2023-03-19 14:06 采纳率: 100%
浏览 73
已结题

fpga仿真不太对 verilog

整数平方根 输入4位二进制整数。
实测正常 但是仿真不正确。

module project_51(
    input clk,    //时钟信号
    input [3:0]num,//输入4位二进制数
    output [3:0]led,   //输出4位二进制数
    output [1:0]gen   //输出整数平方根
    );
    reg [3:0]lastnum;
    reg [1:0]sqrt=00;
        
    assign led = num; //输出4位二进制数
    assign gen = sqrt;
    
always @(posedge clk)   //计算平方根
begin
    if (num != lastnum) sqrt=00;
    if ((sqrt+1)**2 <= num) sqrt = sqrt + 2'b01;
    lastnum = num;
end

endmodule

仿真文件

module sim_project_51;
    reg clk;
    reg [3:0]num;
    wire [3:0]led;
    wire [1:0]gen;
    project_51 uut(clk,num,led,gen);
 initial begin
   clk=0;
   num=0000;
 
 end
 always #10 clk=~clk;
 always #20 num=num+1;
    
endmodule

img

  感觉仿真中always那块没有循环....(刚开始学,不太会)
  • 写回答

2条回答 默认 最新

  • kyle_ic 2023-03-19 15:49
    关注
    1. 你这相当于一个周期循环一次,而你的tb给的num+1是每个周期+1,这样还没来得及循环,num就变了,自然always没循环起来
    2. 楼上将你的num的时间拖得足够长,这样always块自然就跑起来了,有循环的过程
    3. 如果想一个周期出结果,建议直接用for循环;如果想pipeline的出结果,建议给一个done的信号,判断是否循环完毕;否则为了保证4bit的num能足够时间实现算法,最少最少每个num保持16+个周期
    本回答被题主选为最佳回答 , 对您是否有帮助呢?
    评论
查看更多回答(1条)

报告相同问题?

问题事件

  • 已结题 (查看结题原因) 3月19日
  • 已采纳回答 3月19日
  • 修改了问题 3月19日
  • 修改了问题 3月19日
  • 展开全部

悬赏问题

  • ¥15 metadata提取的PDF元数据,如何转换为一个Excel
  • ¥15 关于arduino编程toCharArray()函数的使用
  • ¥100 vc++混合CEF采用CLR方式编译报错
  • ¥15 coze 的插件输入飞书多维表格 app_token 后一直显示错误,如何解决?
  • ¥15 vite+vue3+plyr播放本地public文件夹下视频无法加载
  • ¥15 c#逐行读取txt文本,但是每一行里面数据之间空格数量不同
  • ¥50 如何openEuler 22.03上安装配置drbd
  • ¥20 ING91680C BLE5.3 芯片怎么实现串口收发数据
  • ¥15 无线连接树莓派,无法执行update,如何解决?(相关搜索:软件下载)
  • ¥15 Windows11, backspace, enter, space键失灵