朝莱 2023-05-07 13:06 采纳率: 0%
浏览 33

VHDL语言写一个16位二进制比较器

代码已经写好了使用的芯片是Cyclone
EP 1C3T100C8,目前不知道如何绘画波形图

LIBRARY ieee;
   USE ieee.std_logic_1164.all;

ENTITY comp16 IS
   PORT (
      da   : IN STD_LOGIC_VECTOR(15 DOWNTO 0);
      db   : IN STD_LOGIC_VECTOR(15 DOWNTO 0);
      res  : OUT STD_LOGIC_VECTOR(1 DOWNTO 0)
   );
END comp16;

ARCHITECTURE trans OF comp16 IS
BEGIN
   PROCESS (da, db)
   BEGIN
      IF (da > db) THEN
         res <= "10";
      ELSIF (da = db) THEN
         res <= "11";
      ELSE
         res <= "01";
      END IF;
   END PROCESS;

END trans;
  • 写回答

1条回答 默认 最新

  • 你的兔子 2023-05-08 15:11
    关注

    针对你的问题结合chatgpt知识库请参考以下内容:
    为了绘制VHDL代码的波形图,您需要进行以下步骤:

    1. 通过仿真器打开VHDL文件并编译:

      在Quartus II软件中选择仿真器工具,例如ModelSim。在其窗口中,创建一个新的工程并将VHDL文件添加到其中。根据需要进行其他配置,然后进行编译。

    2. 创建测试台并配置输入和输出信号:

      在ModelSim仿真工具中,使用testbench assistant来创建一个新的testbench。设置输入端口da和db的值,并将其赋给相应的信号变量。将res连接到一个新的信号变量,并从testbench文件中导出。要仿真多个时间单位,请设置仿真时钟和计数器。

    3. 运行仿真:

      在ModelSim仿真工具中,单击运行仿真按钮,模拟期间,可以查看信号变量的值,这些值对应于相应信号的波形图。

    4. 绘制波形图:

      在ModelSim仿真工具窗口中,单击左上角的波形浏览器按钮,并选择所需信号。单击添加波形图按钮以创建新的波形图。使用范围标记区域或手动选择信号变量以添加到波形图中。重复此过程直至添加所需的所有信号变量。单击仿真结果选项卡,在其中可视化波形图,并检查应用程序是否按照预期工作。

    请注意,虽然在此处给出了概述,但如何具体绘制VHDL代码的波形图取决于使用的仿真器和软件版本。

    评论

报告相同问题?

问题事件

  • 修改了问题 5月7日
  • 创建了问题 5月7日

悬赏问题

  • ¥30 关于#微信#的问题:微信实名不绑卡 可以实现吗 有没有专家 可以解决
  • ¥15 (标签-考研|关键词-set)
  • ¥15 求修改代码,图书管理系统
  • ¥15 请问有没求偏多标签数据集yeast,reference,recreation,scene,health数据集。
  • ¥15 传感网应用开发单片机实训
  • ¥15 Delphi 关于sAlphaImageList使用问题
  • ¥15 寻找将CAJ格式文档转txt文本的方案
  • ¥15 shein测试开发会问些啥我是写java的
  • ¥15 关于#单片机#的问题:我有个课程项目设计,我想在STM32F103veTX单片机,M3主控模块上设计一个程序,在Keil uVision5(C语言)上代码该怎么编译?(嫌钱少我可以加钱,急急急)
  • ¥15 opnet仿真网络协议遇到问题