IC测试啊? 2024-08-04 09:32 采纳率: 100%
浏览 9
已结题

FPGA,verilog,拼接运算符

全加器为什么可以这样写?
拼接运算符到底在这里做了些什么?


module full_adder(
    input a,b,
    input cin,
    output sum,
    output cout
);
    assign{cout,sum} = a+b+cin;
endmodule
  • 写回答

3条回答 默认 最新

  • 日晨难再 数字IC领域优质创作者 2024-08-04 13:20
    关注

    你可以理解为,等号左边cout是1位的,sum是1位的,拼接起来就是2位。等号右边三个都是1位的,因为等号右边会先在高位补0,拓展为2位,然后再相加。三个2位的信号,相加结果仍然为2位,结果低位是和,赋值给sum,而高位是进位,赋值给cout。

    本回答被题主选为最佳回答 , 对您是否有帮助呢?
    评论
查看更多回答(2条)

报告相同问题?

问题事件

  • 系统已结题 8月12日
  • 已采纳回答 8月4日
  • 创建了问题 8月4日

悬赏问题

  • ¥15 本地测试网站127.0.0.1 已拒绝连接,如何解决?(标签-ubuntu)
  • ¥50 Qt在release捕获异常并跟踪堆栈(有Demo,跑一下环境再回答)
  • ¥30 python,LLM 文本提炼
  • ¥15 关于将inet引入的相关问题
  • ¥15 关于一个倒计时的操作和显示设计
  • ¥15 提问STK的问题,哪位航天领域的同学会啊
  • ¥15 苹果系统的mac m1芯片的笔记本使用ce修改器使用不了
  • ¥15 单相逆变的电压电流双闭环中进行低通滤波PID算法改进
  • ¥15 关于#java#的问题,请各位专家解答!
  • ¥15 如何卸载arcgis 10.1 data reviewer for desktop