cycf 2023-06-29 16:26 采纳率: 70.6%
浏览 42
已结题

FPGA前仿真如何实现自动化

FPGA前仿真如何实现自动化?
背景:比如说有个verilog写的module需要我仿真,然后我在modelsim上去运行仿真,我怎么实现以下功能
需求1:自动的例化出一个tb
需求2:如何通过脚本来配置tb中的一些参数,就是把参数的端口做成一个接口,供外部去修改。就是个API
需求3:tb文件的激励,怎么实现将激励做成信源库的方式供tb调用
总体意思就是尽可能的使用脚本或者工具,实现自动化的仿真和输出结果。
或者说有没有类似成熟的工具可以使用。

  • 写回答

7条回答 默认 最新

  • 臨城夏目 2023-06-29 16:33
    关注
    获得0.45元问题酬金

    我用的是Libero,它创建tb文件的时候会自动生成模板,微调就行了

    评论

报告相同问题?

问题事件

  • 系统已结题 7月7日
  • 创建了问题 6月29日

悬赏问题

  • ¥15 做一个简单项目,用Python分析共享单车的数据
  • ¥20 在使用CESM2.2.0模型进行case.submit过程中出现如下错误(关键词-Map)
  • ¥15 有办法改变通过wifi进入的网站的设置吗
  • ¥15 所以到底怎么算!算到凌晨五点都算不出来!
  • ¥15 label_studio
  • ¥15 请教如何phython发邮件
  • ¥15 linux系统安装问题
  • ¥15 路径规划如何采用矢量法让他们尽量在一个方向
  • ¥15 crypto 一道rsa解密题
  • ¥15 survIDINRI已将生存时间转为数值变量,仍错误 Time variable is not numeric。