weixin_43729900 2020-07-02 09:12 采纳率: 100%
浏览 476
已采纳

quartus使用时RTL viewer只有输入和输出,没有中间的器件是怎么回事?

用quartus编8-3编码器,编程编译通过了,然后去看RTL viewer只有输入和输出,没有中间的逻辑器件
不知道问题出在哪了,求解答

module encoder_83(in,y);
input [7:0] in;
output [2:0] y;
reg [2:0] y;
always@(in)
begin
    case(in)
        8'bxxxxxxx0 : y = 3'b111;
        8'bxxxxxx01 : y = 3'b110;
        8'bxxxxx011 : y = 3'b101;
        8'bxxxx0111 : y = 3'b100;
        8'bxxx01111 : y = 3'b011;
        8'bxx011111 : y = 3'b010;
        8'bx0111111 : y = 3'b001;
        8'bx1111111 : y = 3'b000;
    default : y <= 3'b111;
    endcase
end
endmodule

图片说明

图片说明

  • 写回答

2条回答 默认 最新

  • dabocaiqq 2020-07-02 18:17
    关注
    本回答被题主选为最佳回答 , 对您是否有帮助呢?
    评论
查看更多回答(1条)

报告相同问题?

悬赏问题

  • ¥15 多电路系统共用电源的串扰问题
  • ¥15 shape_predictor_68_face_landmarks.dat
  • ¥15 slam rangenet++配置
  • ¥15 有没有研究水声通信方面的帮我改俩matlab代码
  • ¥15 对于相关问题的求解与代码
  • ¥15 ubuntu子系统密码忘记
  • ¥15 信号傅里叶变换在matlab上遇到的小问题请求帮助
  • ¥15 保护模式-系统加载-段寄存器
  • ¥15 电脑桌面设定一个区域禁止鼠标操作
  • ¥15 求NPF226060磁芯的详细资料