weixin_53241763 2021-09-18 10:25 采纳率: 100%
浏览 126
已结题

如何操作第一个按键按下,第一盏LED灯亮同时数码管显示1?现在只能做数码管显示按键号。

#include <reg52.h>
#include<intrins.h>
#define led P1

typedef unsigned int u16;
typedef unsigned char u8;

sbit k1=P0^0; //定义按键管脚
sbit k2=P0^1;
sbit k3=P0^2;

sbit LSA=P1^0; //定义管脚
sbit LSB=P1^1;
sbit LSC=P1^2;

void delay(u16 i)

{
while(i--);
}

void keypros()
{
LSA=1;LSB=1;LSC=1;
if(k1==0)
{
delay(1000);
if(k1==0)
{
P2=0x06; //数码管显示1
}
while(!k1); //检测按键是否松开
}

if(k2==0) //检测按键K2是否按下
{
delay(1000); //消除抖动 一般大约10ms
if(k2==0) //再次判断按键是否按下
{
P2=0x5b; //数码管显示2
}
while(!k2); //检测按键是否松开
}

if(k3==0) //检测按键K3是否按下
{
delay(1000); //消除抖动 一般大约10ms
if(k3==0) //再次判断按键是否按下
{
P2=0x4f; //数码管显示3
}
while(!k3); //检测按键是否松开
}
}

void main()
{
led=1;
while(1)
{
keypros(); //按键处理函数
}
}

img

  • 写回答

2条回答 默认 最新

  • qllaoda 2021-09-18 11:40
    关注

    图太小,看不清,数码管是接在P2上的?那就在P1输出LED状态的时候,P2同时输出数码管状态就是了。建议先弄个表,把每个数字对应的P2的值写在表里,然后根据数值查表即可。这个表要根据你的数码管的连接方式来配置里面的数值。

    本回答被题主选为最佳回答 , 对您是否有帮助呢?
    评论
查看更多回答(1条)

报告相同问题?

问题事件

  • 系统已结题 9月26日
  • 已采纳回答 9月18日
  • 修改了问题 9月18日
  • 修改了问题 9月18日
  • 展开全部

悬赏问题

  • ¥60 更换迈创SOL6M4AE卡的时候,驱动要重新装才能使用,怎么解决?
  • ¥15 让node服务器有自动加载文件的功能
  • ¥15 jmeter脚本回放有的是对的有的是错的
  • ¥15 r语言蛋白组学相关问题
  • ¥15 Python时间序列如何拟合疏系数模型
  • ¥15 求学软件的前人们指明方向🥺
  • ¥50 如何增强飞上天的树莓派的热点信号强度,以使得笔记本可以在地面实现远程桌面连接
  • ¥20 双层网络上信息-疾病传播
  • ¥50 paddlepaddle pinn
  • ¥20 idea运行测试代码报错问题