特级茶叶 2022-10-07 23:02 采纳率: 78.6%
浏览 57
已结题

用verilog HDL语法编写写出程序

将2选1多路选择器看成是一个元件MUX21A,利用元件例化语句描述如下图双2选1多路选择器,并将此文件放在同一目录中。以下是部分参考程序,完整程序请补充完整。

img

img

  • 写回答

1条回答 默认 最新

  • 老皮芽子 2022-10-08 09:19
    关注
    
    module MUXK
    (
       input        a1,
       input        a2,
       input        a3,
       input        s0,
       input        s1,
       output        outy
    );
       wire    tmp;
       
       MUX21A    U1(.a(a2),.b(a3),.s(s0),.y(tmp));
       MUX21A    U2(.a(a1),.b(tmp),.s(s1),.y(outy));
    
    endmodule
    
    
    
    本回答被题主选为最佳回答 , 对您是否有帮助呢?
    评论

报告相同问题?

问题事件

  • 系统已结题 10月16日
  • 已采纳回答 10月8日
  • 创建了问题 10月7日

悬赏问题

  • ¥15 metadata提取的PDF元数据,如何转换为一个Excel
  • ¥15 关于arduino编程toCharArray()函数的使用
  • ¥100 vc++混合CEF采用CLR方式编译报错
  • ¥15 coze 的插件输入飞书多维表格 app_token 后一直显示错误,如何解决?
  • ¥15 vite+vue3+plyr播放本地public文件夹下视频无法加载
  • ¥15 c#逐行读取txt文本,但是每一行里面数据之间空格数量不同
  • ¥50 如何openEuler 22.03上安装配置drbd
  • ¥20 ING91680C BLE5.3 芯片怎么实现串口收发数据
  • ¥15 无线连接树莓派,无法执行update,如何解决?(相关搜索:软件下载)
  • ¥15 Windows11, backspace, enter, space键失灵