清风烈酒_ 2024-02-14 17:51 采纳率: 0%
浏览 46

vivado2017.4 generate out put products出现 [runtcl-1] couldn't read file 乱码路径

vivado2017.4版本在生成generate out put products 出现
[runtcl-1] couldn't read file "E:/脦脛录镁脧碌脥鲁/XC7K325T_falsh_config_210329_4_byte/system_top/jm1proj/manager/pre_synth.tcl": no such file or directory
我的想法:
1.电脑里边没有这个E盘;
2.vivado和工程也没有储存在中文路径下
3.reset project过后也会出现相同问题
4.重新安装vivado2017.4也没有解决

img

  • 写回答

4条回答 默认 最新

  • 专家-赤兔[在线] 全栈领域优质创作者 2024-02-14 17:53
    关注

    引自免费微信小程序:皆我百晓生

    根据您的描述,您在使用Vivado 2017.4版本进行FPGA开发时,在生成Output Products阶段遇到了无法读取指定路径下的TCL文件的问题。从错误信息来看,存在以下几点可能的原因和解决方法:

    1. 错误路径包含乱码:

      • 错误信息中的路径E:/脦脛录镁脧碌脥鲁/XC7K325T_falsh_config_210329_4_byte/system_top/jm1proj/manager/pre_synth.tcl显然不是正常中文或英文路径,这可能是由于路径名称在某个环节被错误编码或解码导致的乱码。
      • 解决方案
        • 检查工程配置、TCL脚本以及其他所有与项目相关的设置,确保没有引用到这个乱码路径。
        • 如果是在其他地方(如先前打开的项目、旧版备份等)复制过来的工程,尝试重新创建一个全新的工程,并确保所有文件路径均不含乱码。
    2. 实际硬盘中不存在对应盘符或路径:

      • 您提到电脑里并没有E盘,这意味着Vivado试图访问的路径并不存在于当前系统中。
      • 解决方案
        • 打开Vivado工程,通过Project Manager检查工程设置以及所有相关联的TCL脚本,找到并修正指向“E:/”的错误路径,将其更改为实际存在的正确路径。
        • 在设置中确认项目的根目录位置是否正确。
    3. 工程设置中隐藏的中文路径问题:

      • 虽然您表示Vivado和工程都没有存储在中文路径下,但有可能是工程内部的某些设置或间接引用了含有中文的路径。
      • 解决方案
        • 全面检查工程配置、源代码文件夹结构以及所有TCL脚本,确保没有任何地方涉及中文路径。
        • 尝试将整个工程移动至全英文路径下,然后在Vivado中更新工程路径。
    4. 缓存或配置文件残留问题:

      • 即使重置项目或重新安装Vivado后仍然出现同样的问题,可能是因为之前运行过程中的临时文件或者配置文件中记录了错误的路径信息。
      • 解决方案
        • 清理Vivado的相关缓存和临时文件。这些文件通常位于用户的AppData或用户主目录下,可以搜索关键词"Xilinx"或"Vivado"来查找并删除可能的遗留文件。
        • 可以尝试在清理缓存后,重新启动Vivado,新建一个工程并导入所有设计文件,而不是直接打开旧工程。

    总结来说,请按照以上步骤逐一排查并解决问题,同时确保操作系统的字符集设置与Vivado兼容,并尽量避免在任何工程相关的路径和文件名中使用非ASCII字符。如果问题依然存在,请进一步提供详细信息以便分析。由于此处无法提供图片或完整代码示例,上述内容侧重于指导性建议而非具体代码实现。

    评论

报告相同问题?

问题事件

  • 创建了问题 2月14日

悬赏问题

  • ¥20 python忆阻器数字识别
  • ¥15 无法输出helloworld
  • ¥15 高通uboot 打印ubi init err 22
  • ¥20 PDF元数据中的XMP媒体管理属性
  • ¥15 R语言中lasso回归报错
  • ¥15 网站突然不能访问了,上午还好好的
  • ¥15 有没有dl可以帮弄”我去图书馆”秒选道具和积分
  • ¥15 semrush,SEO,内嵌网站,api
  • ¥15 Stata:为什么reghdfe后的因变量没有被发现识别啊
  • ¥15 振荡电路,ADS仿真