SeerSky. 2020-06-11 21:37 采纳率: 66.7%
浏览 5405
已采纳

Vivado 2019.1仿真verilog报错[USF-XSim-62]和[Vivado 12-4473],疑似仿真代码没有正确调用模块?

下面是源文件

module Ex_1(
    input [23:0] sw,
    output [23:0] led
    );
   assign led =sw;
endmodule

仿真代码

`timescale 1ns / 1ps
module Ex_1_sim( );
    reg [23:0] sw=24'h000000;
    wire [23:0] led;
    Ex_1 uut(
        .sw(sw),
        .led(led)
        );
always #10 sw=sw+1;
endmodule

报错信息:
[USF-XSim-62] 'elaborate' step failed with error(s). Please check the Tcl console output or 'C:/Users/yx/Desktop/JIZU/Ex_1/Ex_1.sim/sim_1/behav/xsim/elaborate.log' file for more information.

[Vivado 12-4473] Detected error while running simulation. Please correct the issue and retry this operation.

错误日志:
ERROR: [XSIM 43-3409] Failed to compile generated C file xsim.dir/Ex_1_sim_behav/obj/xsim_1.c.
ERROR: [XSIM 43-3915] Encountered a fatal error. Cannot continue. Exiting...

求解答

  • 写回答

3条回答 默认 最新

  • zqbnqsdsmd 2020-06-12 11:54
    关注
    本回答被题主选为最佳回答 , 对您是否有帮助呢?
    评论
查看更多回答(2条)

报告相同问题?

悬赏问题

  • ¥15 素材场景中光线烘焙后灯光失效
  • ¥15 请教一下各位,为什么我这个没有实现模拟点击
  • ¥15 执行 virtuoso 命令后,界面没有,cadence 启动不起来
  • ¥50 comfyui下连接animatediff节点生成视频质量非常差的原因
  • ¥20 有关区间dp的问题求解
  • ¥15 多电路系统共用电源的串扰问题
  • ¥15 slam rangenet++配置
  • ¥15 有没有研究水声通信方面的帮我改俩matlab代码
  • ¥15 ubuntu子系统密码忘记
  • ¥15 保护模式-系统加载-段寄存器