m0_62195069 2021-09-23 09:24 采纳率: 50%
浏览 127
已结题

用verilog语言描述图中电路

img

  • 写回答

2条回答 默认 最新

  • cc__cc__ 2021-09-23 09:52
    关注
    module test (in, clk, rst_n, out);
      input in;
      input clk;
      input rst_n;
      output out;
      
      reg A;
      reg B;
      wire B_reg;
    
      always @(posedge clk or negedge rst_n) 
      begin
        if(!rst_n)
          begin
              A <= 1'b0;
              B <= 1'b0;
          end
        else
          begin
              A <= B_reg;
              B <= in;
          end
      end
      assign B_reg = B;
      assign out = (~A) & B;
        
    endmodule
    

    已验证,有帮助望采纳!

    本回答被题主选为最佳回答 , 对您是否有帮助呢?
    评论
查看更多回答(1条)

报告相同问题?

问题事件

  • 已结题 (查看结题原因) 9月23日
  • 已采纳回答 9月23日
  • 创建了问题 9月23日

悬赏问题

  • ¥20 wireshark抓不到vlan
  • ¥20 关于#stm32#的问题:需要指导自动酸碱滴定仪的原理图程序代码及仿真
  • ¥20 设计一款异域新娘的视频相亲软件需要哪些技术支持
  • ¥15 stata安慰剂检验作图但是真实值不出现在图上
  • ¥15 c程序不知道为什么得不到结果
  • ¥40 复杂的限制性的商函数处理
  • ¥15 程序不包含适用于入口点的静态Main方法
  • ¥15 素材场景中光线烘焙后灯光失效
  • ¥15 请教一下各位,为什么我这个没有实现模拟点击
  • ¥15 执行 virtuoso 命令后,界面没有,cadence 启动不起来