曹哥马达 2021-11-05 10:03 采纳率: 100%
浏览 174
已结题

verilog累加器仿真时钟问题

写了一个累加器

module DDS_Accumulator(
input wire clk_A_10kHz,
input wire rst_n,
input wire [7:0] switch,
output reg [7:0] sum
    );

always@(posedge clk_A_10kHz or negedge rst_n)
begin
if(!rst_n)
 sum <= 8'b00000000;
else
 sum <= sum+ 8'b00000001;
end

endmodule


仿真代码如下

module DDS_Accumulator_sim;
reg clk_A_10kHz;
reg rst_n; 

initial 
begin
 clk_A_10kHz = 0;
 rst_n=0;
 #100
 rst_n=1;
end;

always #5000 clk_A_10kHz = ~clk_A_10kHz;

DDS_Accumulator u0 (
.clk_A_10kHz(clk_A_10kHz),
.rst_n(rst_n),
.switch(switch),
.sum(sum)
);

endmodule



当我需要10kHz的clk时
always #5000 clk_A_10kHz = ~clk_A_10kHz;
延时设置为5000ns

此时的sum为啥是一位的internal signal?

img

当我降低延时为10ns 提高clk频率时
能正常输出8位的sum

img

这是为什么呢

  • 写回答

1条回答 默认 最新

  • 老皮芽子 2021-11-05 10:18
    关注
    
    module DDS_Accumulator_sim;
    reg clk_A_10kHz;
    reg rst_n; 
    initial 
    begin
     clk_A_10kHz = 0;
     rst_n=0;
     #10000
     rst_n=1;
    end;
    wire [7:0] sum;
    always #5000 clk_A_10kHz = ~clk_A_10kHz;
    DDS_Accumulator u0 (
    .clk_A_10kHz(clk_A_10kHz),
    .rst_n(rst_n),
    .switch(switch),
    .sum(sum)
    );
    endmodule
    
    本回答被题主选为最佳回答 , 对您是否有帮助呢?
    评论

报告相同问题?

问题事件

  • 已结题 (查看结题原因) 11月5日
  • 已采纳回答 11月5日
  • 修改了问题 11月5日
  • 创建了问题 11月5日

悬赏问题

  • ¥100 set_link_state
  • ¥15 虚幻5 UE美术毛发渲染
  • ¥15 CVRP 图论 物流运输优化
  • ¥15 Tableau online 嵌入ppt失败
  • ¥100 支付宝网页转账系统不识别账号
  • ¥15 基于单片机的靶位控制系统
  • ¥15 真我手机蓝牙传输进度消息被关闭了,怎么打开?(关键词-消息通知)
  • ¥15 装 pytorch 的时候出了好多问题,遇到这种情况怎么处理?
  • ¥20 IOS游览器某宝手机网页版自动立即购买JavaScript脚本
  • ¥15 手机接入宽带网线,如何释放宽带全部速度