weixin_62539646 2022-10-12 23:48 采纳率: 77.1%
浏览 135
已结题

FPGA-四位表决器的verilog代码应该怎么写?

设计一个4变量多数表决器,在4个输入中,A代表2
B、C、D分别代表1,当输入数值大于或等于3时输出为高电平,否则,输出为低电平。

  • 写回答

1条回答 默认 最新

  • Fantasy237 2022-10-15 10:20
    关注

    情况不多的时候直接列真值表写case就可以了,在FPGA中会用一个LUT实现。
    加上拼接:
    case({A,B,C,D})
    4'b0000:

    本回答被题主选为最佳回答 , 对您是否有帮助呢?
    评论

报告相同问题?

问题事件

  • 已结题 (查看结题原因) 2月20日
  • 已采纳回答 2月20日
  • 修改了问题 10月13日
  • 创建了问题 10月12日

悬赏问题

  • ¥15 用lstm来预测股票价格
  • ¥15 请问paddlehub能支持移动端开发吗?在Android studio上该如何部署?
  • ¥170 如图所示配置eNSP
  • ¥20 docker里部署springboot项目,访问不到扬声器
  • ¥15 netty整合springboot之后自动重连失效
  • ¥15 悬赏!微信开发者工具报错,求帮改
  • ¥20 wireshark抓不到vlan
  • ¥20 关于#stm32#的问题:需要指导自动酸碱滴定仪的原理图程序代码及仿真
  • ¥20 设计一款异域新娘的视频相亲软件需要哪些技术支持
  • ¥15 stata安慰剂检验作图但是真实值不出现在图上