淡漠清愁*& 2022-11-05 22:51 采纳率: 57.1%
浏览 14
已结题

rom仿真数据出现失常

ip核 rom读写
已经生成mif文件了,且在quartus中看mif文件里的值都存在,但在modelsim仿真中,当rom_addr累加时,每一个rom_addr存放的数据却都是0(图中q对应的数据)

下图为quartus软件中rom里存放的数据

img


下图为modelsim仿真的数据

img

下图为verilog文件调用rom核的截图

img

  • 写回答

0条回答 默认 最新

    报告相同问题?

    问题事件

    • 系统已结题 11月13日
    • 创建了问题 11月5日

    悬赏问题

    • ¥15 微机原理汇编语言debug调试实验
    • ¥23 matlab可以把相图转换为庞加莱映射吗
    • ¥20 有偿,学生成绩信息管理系统
    • ¥15 Arduino电机和openmv连接异常
    • ¥15 Arcgis河网分级报错
    • ¥200 java+appium2.1+idea
    • ¥20 请帮我做一个EXE的去重TXT文本
    • ¥15 工价表引用工艺路线,应如何制作py和xml文件
    • ¥15 根据历史数据,推荐问题类型
    • ¥15 需要仿真图,简单的二阶系统实例