无所谓谓~~ 2023-04-06 16:38 采纳率: 0%
浏览 136
已结题

EDA FPGA数码管显示数字时钟如何切换时分秒如何实现流水灯

实验要求:
1.具有时、分、秒,计数显示功能(数码管1、2显示时;数码管了、4显示分;数码管5、6
显示秒,数码管7、8不亮)
以24小时循环计时;
2.具有清零(SS清零),调节小时、分钟功能(S6切换时分秒,S7加,S8减)
3.具有整点报时功能,整点报时的同时LED花样显示 (LED1-LED8按每一秒流水2次)

我的困惑:
1.我的时分秒全部分成了个位和十位的,(m_g、m_s、f_g、f_s、s_g、s_s)这样我就有6个数码管了,然后我不理解S6按键怎么切换时分秒
2.还不理解LED灯怎么每一秒流水两次(如何实现0.5秒左移一次, 0.5秒右移一次)
3.Eda verilog语言

  • 写回答

3条回答 默认 最新

  • 阿里嘎多学长 2023-04-06 21:05
    关注

    以下内容部分参考ChatGPT模型:
    我可以给你一些关于你提出的问题的思路:

    1. S6按键可以通过一个状态机实现,每按一次S6就切换到下一个状态,分别对应时、分、秒。在每个状态下,S7和S8的作用也不同,可以通过判断当前状态来确定S7、S8的具体作用。

    2. LED流水灯可以通过计时器实现,每经过一定时间就更改LED的状态。具体来说,可以设置一个计时器,每计时一定时间就将LED的状态左移或右移一位,然后重复这个过程。在具体实现时,可以将计时器的时间设置为一半的流水灯周期,这样就可以实现每一秒流水两次的效果。

    3. 在Verilog语言中,可以使用always块和case语句来实现状态机和计时器。具体实现方法可以参考Verilog语言的教程和示例代码。

    希望这些思路能对你有所帮助,祝你实验顺利!
    如果我的建议对您有帮助、请点击采纳、祝您生活愉快

    评论

报告相同问题?

问题事件

  • 系统已结题 4月14日
  • 创建了问题 4月6日

悬赏问题

  • ¥15 nginx中的CORS策略应该如何配置
  • ¥30 信号与系统实验:采样定理分析
  • ¥100 我想找人帮我写Python 的股票分析代码,有意请加mathtao
  • ¥20 Vite 打包的 Vue3 组件库,图标无法显示
  • ¥15 php 同步电商平台多个店铺增量订单和订单状态
  • ¥15 关于logstash转发日志时发生的部分内容丢失问题
  • ¥17 pro*C预编译“闪回查询”报错SCN不能识别
  • ¥15 微信会员卡接入微信支付商户号收款
  • ¥15 如何获取烟草零售终端数据
  • ¥15 数学建模招标中位数问题