Whisky_F 2019-10-22 20:56 采纳率: 0%
浏览 822

用VerilogHDL 写一个A算术逻辑运算器ALU

算术逻辑运算单元 ALU 是计算机组成中不可缺少的部件,CPU 指令系统中运算类指令都由 ALU 来支持。
要求设计一个具有 3 种算术运算和 3种逻辑运算的 8 位 ALU,完成它的上板验证。
主要就是代码的问题 没学过Verilog 不知道要咋写这个东西

  • 写回答

0条回答

    报告相同问题?

    悬赏问题

    • ¥15 解决一个加好友限制问题 或者有好的方案
    • ¥15 关于#java#的问题,请各位专家解答!
    • ¥15 急matlab编程仿真二阶震荡系统
    • ¥20 TEC-9的数据通路实验
    • ¥15 ue5 .3之前好好的现在只要是激活关卡就会崩溃
    • ¥50 MATLAB实现圆柱体容器内球形颗粒堆积
    • ¥15 python如何将动态的多个子列表,拼接后进行集合的交集
    • ¥20 vitis-ai量化基于pytorch框架下的yolov5模型
    • ¥15 如何实现H5在QQ平台上的二次分享卡片效果?
    • ¥30 求解达问题(有红包)