weixin_42967602 2018-11-14 12:39 采纳率: 0%
浏览 2046

有关状态机的Verilog代码

我的状态机代码和test代码分别如下:
module transformation (a,b,s,clk,S,C,X);
input a,b,s,clk;
output S,C,X;
reg S,C,X;
always @ (posedge clk)

case(s)
0:if(a==1&b==1)begin S<=1; C<=0; end
else if(a==0&b==0)begin S<=5; C<=1; end
else begin S<=s; X<=1; end
1:if(a==1&b==0)begin S<=4; C<=1; end
else if(a==0&b==1)begin S<=3; C<=0; end
else begin S<=s; X<=1; end
2:if(a==0&b==0) begin S<=1; C<=1; end
else if(a==1&b==0)begin S<=5; C<=0; end
else begin S<=s; X<=1; end
3:if(a==0&b==0) begin S<=2; C<=0; end
else if(a==1&b==0) begin S<=4; C<=1; end
else begin S<=s; X<=1; end
4:if(a==1&b==0) begin S<=3; C<=0; end
else if(a==0&b==1) begin S<=5; C<=1; end
else begin S<=s; X<=1; end
5:if(a==0&b==0)begin S<=5; C<=0; end
else if(a==1&b==0)begin S<=0; C<=1; end
else begin S<=s; X<=1; end
endcase

endmodule

module test();
reg a,b,C,X,clk;
reg [0:2] s,S;
initial
begin
clk=0;
a=0;
b=0;
s=0;
S=6;
X=0;
end
always #10 a=~a;
always #5 b=~b;
always #5 clk=~clk;
always @ (posedge clk)
begin
X<=0;
if(S==6) ;
else begin s<=S; end
transformationT1(
.a(a),
.b(b),
.s(s),
.clk(clk),
.S(S),
.C(C),
.X(X)
);
end
endmodule
仿真后发现全部都是高阻,这是为什么啊?要怎么改呢?

  • 写回答

3条回答

  • ailaillia 2018-11-15 01:21
    关注

    两个问题,第一个问题transformation 模块好像描述的是组合电路。第二个问题,假设transformation 的功能没有问题,test程序中将transformation 放在always语句中以clk上边沿触发为条件调用,但transformation 本身也有检测时钟边沿的情况。所以transformation 接收到的信号是个高电平信号,也就是说transformation 永远也不会被触发。

    评论

报告相同问题?

悬赏问题

  • ¥15 c程序不知道为什么得不到结果
  • ¥40 复杂的限制性的商函数处理
  • ¥15 程序不包含适用于入口点的静态Main方法
  • ¥15 素材场景中光线烘焙后灯光失效
  • ¥15 请教一下各位,为什么我这个没有实现模拟点击
  • ¥15 执行 virtuoso 命令后,界面没有,cadence 启动不起来
  • ¥50 comfyui下连接animatediff节点生成视频质量非常差的原因
  • ¥20 有关区间dp的问题求解
  • ¥15 多电路系统共用电源的串扰问题
  • ¥15 slam rangenet++配置