心之若涯 2019-04-07 11:35 采纳率: 0%
浏览 2789

modelsim SE-64,仿真不出来

** Fatal: Internal Error - vopt returned success but vsim could not find a design to simulate!. Please contact customer support for further assistance.

Error loading design

Error: Error loading design

Pausing macro execution

MACRO ./aaa_run_msim_rtl_verilog.do PAUSED at line 40

新手刚开始学这个,前面都是按照例题流程做的,最后仿真不了了,这是什么错误啊。求大佬教教···
下面是testbench修改的部分

initial                                                
begin                                                  

      #0 CLK_50M=1'b0;
        #10000 RST_N=1'b0;
        #10000 RST_N=1'b1;
        #10000000 $stop;


end                                                    
always#10000                                              

begin                                                  

        CLK_50M=~CLK_50M;                                               

end                                                    
endmodule

程序是

module aaa
(
    //输入端口
    CLK_50M,RST_N,
    //输出端口
    LED1
);
//外部端口声明
input   CLK_50M;
input   RST_N;
output  LED1;
//内部端口声明
reg[26:0]   time_cnt;//控制LED闪烁频率的定时计数器
reg[26:0]   time_cnt_n;//time_cnt的下一个状态
reg led_reg;     //用来控制LED亮灭的显示寄存器
reg led_reg_n;  //led_reg下一个状态

//设定定时器的时间为1s,计算方法为(1*10^6)us/(1/50)us 50MHz的晶振
parameter SET_TIME_1S=27'd50;

//逻辑功能实现
//时序电路,用来给time_cnt寄存器赋值
always @ (posedge CLK_50M or negedge RST_N)
begin
    if(!RST_N)  //判断复位
        time_cnt <= 27'h0;//初始化值
    else
        time_cnt <= time_cnt_n;//赋值
end
//组合电路,实现1s定时计数器
always@(*)
begin
    if(time_cnt==SET_TIME_1S)
    time_cnt_n=27'h0;
    else
    time_cnt_n=time_cnt+27'h1;
end
//时序电路,用来给led_reg寄存器赋值
always@(posedge CLK_50M or negedge RST_N)
begin
    if(!RST_N)
        led_reg<=1'b0;
    else
        led_reg<=led_reg_n;
end
//组合底哪路,判断时间,控制LED亮灭
always@(*)
begin
    if(time_cnt==SET_TIME_1S)//判断1s时间
    led_reg_n=~led_reg;
    else
    led_reg_n=led_reg;//如果到达1s,寄存器将会改变LED的原状态,如果未到1s,显示寄存器保持LED原状态
end

assign LED1=led_reg;//最后,将显示寄存器的赋值给端口LED1
endmodule

  • 写回答

3条回答 默认 最新

  • 不吃药的大郎 2020-10-28 17:45
    关注

    modelsim.ini设置文件中默认开启了优化(就是VoptFlow=1, 其值1表示开启优化,0表示不开启优化)。吐槽一下:搜了好久才解决,相关问答太少还没啥用。这还是搜优不优化的问题看到的答案。。。

    评论

报告相同问题?

悬赏问题

  • ¥15 请教:如何用postman调用本地虚拟机区块链接上的合约?
  • ¥15 为什么使用javacv转封装rtsp为rtmp时出现如下问题:[h264 @ 000000004faf7500]no frame?
  • ¥15 乘性高斯噪声在深度学习网络中的应用
  • ¥15 运筹学排序问题中的在线排序
  • ¥15 关于docker部署flink集成hadoop的yarn,请教个问题 flink启动yarn-session.sh连不上hadoop,这个整了好几天一直不行,求帮忙看一下怎么解决
  • ¥15 深度学习根据CNN网络模型,搭建BP模型并训练MNIST数据集
  • ¥15 C++ 头文件/宏冲突问题解决
  • ¥15 用comsol模拟大气湍流通过底部加热(温度不同)的腔体
  • ¥50 安卓adb backup备份子用户应用数据失败
  • ¥20 有人能用聚类分析帮我分析一下文本内容嘛