初来乍到通信儿 2022-04-15 15:26 采纳率: 0%
浏览 114
已结题

Verilog 编译出错 3-8线译码器

报错内容

Error (10170): Verilog HDL syntax error at decoder38.v(8) near text "'1"; expecting ";"
Error (10112): Ignored design unit "decoder38" at decoder38.v(1) due to previous errors

img

问题相关代码,请勿粘贴截图

module decoder38(A,B,C,G1,G2,Y);
input A,B,C,G1,G2; //3位二进制编码输入端,按键按下为0,C为最高位;2个使能输入端,G1=1且G2=0实现编码,否则输出全1
output [7:0]Y; //8位编码输出端,接LED8-LED1,输出0为亮
reg [7:0]Y;
always@(A or B or C or G1 or G2) //电平触发——组合逻辑特征
begin
if(G1==0) Y=8'b11111111; //如果G1为0,则Y输出为11111111
else if (G2==1) Y=8'11111111; //如果G2为1,则Y输出为11111111
else
case({C,B,A}) //判断输入值,并据此给Y赋值
3'b000:Y[7:0]=8'b11111110;
3'b001:Y[7:0]=8'b11111101;
3'b010:Y[7:0]=8'b11111011;
3'b011:Y[7:0]=8'b11110111;
3'b100:Y[7:0]=8'b11101111;
3'b101:Y[7:0]=8'b11011111;
3'b110:Y[7:0]=8'b10111111;
3'b111:Y[7:0]=8'b01111111;
endcase
end
endmodule

  • 写回答

2条回答 默认 最新

  • 老皮芽子 2022-04-15 15:31
    关注

    第8行改为

    else if (G2==1) Y=8'b11111111; //如果G2为1,则Y输出为11111111
    
    
    评论

报告相同问题?

问题事件

  • 已结题 (查看结题原因) 4月22日
  • 创建了问题 4月15日

悬赏问题

  • ¥15 is not in the mmseg::model registry。报错,模型注册表找不到自定义模块。
  • ¥15 安装quartus II18.1时弹出此error,怎么解决?
  • ¥15 keil官网下载psn序列号在哪
  • ¥15 想用adb命令做一个通话软件,播放录音
  • ¥30 Pytorch深度学习服务器跑不通问题解决?
  • ¥15 部分客户订单定位有误的问题
  • ¥15 如何在maya程序中利用python编写领子和褶裥的模型的方法
  • ¥15 Bug traq 数据包 大概什么价
  • ¥15 在anaconda上pytorch和paddle paddle下载报错
  • ¥25 自动填写QQ腾讯文档收集表