木槿呀 2022-04-19 13:09 采纳率: 75%
浏览 143
已结题

想问一下大家?数电verilog编程,modelsim仿真的时候波形图不对,是为什么呢?

img

img

img

img


图片如上,第一个仿真的时候q1和q2本来是计数用的,但是标红了,貌似出问题了,但是代码我看了好几遍,应该没啥问题啊?是为什么呀?

  • 写回答

1条回答 默认 最新

  • 老皮芽子 2022-04-19 15:07
    关注

    模块没有复位信号,寄存器就需要有初始值。
    你q1/q2这两个寄存器没有初始值。可以参照out1/out2的方式置初始值。

    本回答被题主选为最佳回答 , 对您是否有帮助呢?
    评论

报告相同问题?

问题事件

  • 系统已结题 4月27日
  • 已采纳回答 4月19日
  • 创建了问题 4月19日

悬赏问题

  • ¥20 wireshark抓不到vlan
  • ¥20 关于#stm32#的问题:需要指导自动酸碱滴定仪的原理图程序代码及仿真
  • ¥20 设计一款异域新娘的视频相亲软件需要哪些技术支持
  • ¥15 stata安慰剂检验作图但是真实值不出现在图上
  • ¥15 c程序不知道为什么得不到结果
  • ¥40 复杂的限制性的商函数处理
  • ¥15 程序不包含适用于入口点的静态Main方法
  • ¥15 素材场景中光线烘焙后灯光失效
  • ¥15 请教一下各位,为什么我这个没有实现模拟点击
  • ¥15 执行 virtuoso 命令后,界面没有,cadence 启动不起来