qq_34793889 2016-04-26 02:30 采纳率: 100%
浏览 1052

基于verilog 乒乓切换控制电路

在一些数据采集系统中,为了实现数据的实时性和连续性,需要将连续采集到的数据先暂存起来再进行处理,就需要2片相同容量的存储器,当存储器A处于写入状态时,存储器B处于读出状态。当存储器A写满后发出一个写满信号FULLA,此时存储器B也读空,发出一个读空信号EMPTYB。这时将两个存储器切换工作,存储器A处于读出状态,而存储器B处于写入状态,当存储器A读空而存储器B写满时,存储器A发出读空信号EMPTYA,存储器B发出写满信号FULLB,然后存储器A和B再次切换工作状态。假设存储器的容量为8K字节,8位数据总线。

  • 写回答

0条回答

    报告相同问题?

    悬赏问题

    • ¥15 素材场景中光线烘焙后灯光失效
    • ¥15 请教一下各位,为什么我这个没有实现模拟点击
    • ¥15 执行 virtuoso 命令后,界面没有,cadence 启动不起来
    • ¥50 comfyui下连接animatediff节点生成视频质量非常差的原因
    • ¥20 有关区间dp的问题求解
    • ¥15 多电路系统共用电源的串扰问题
    • ¥15 slam rangenet++配置
    • ¥15 有没有研究水声通信方面的帮我改俩matlab代码
    • ¥15 ubuntu子系统密码忘记
    • ¥15 保护模式-系统加载-段寄存器