qq_34793889 2016-04-26 02:40 采纳率: 100%
浏览 1468

有赏金,求程序。基于verilog乒乓切换。用状态机

在一些数据采集系统中,为了实现数据的实时性和连续性,需要将连续采集到的数据先暂存起来再进行处理,就需要2片相同容量的存储器,当存储器A处于写入状态时,存储器B处于读出状态。当存储器A写满后发出一个写满信号FULLA,此时存储器B也读空,发出一个读空信号EMPTYB。这时将两个存储器切换工作,存储器A处于读出状态,而存储器B处于写入状态,当存储器A读空而存储器B写满时,存储器A发出读空信号EMPTYA,存储器B发出写满信号FULLB,然后存储器A和B再次切换工作状态。假设存储器的容量为8K字节,8位数据总线。

  • 写回答

0条回答

    报告相同问题?

    悬赏问题

    • ¥15 keil的map文件中Image component sizes各项意思
    • ¥30 BC260Y用MQTT向阿里云发布主题消息一直错误
    • ¥20 求个正点原子stm32f407开发版的贪吃蛇游戏
    • ¥15 划分vlan后,链路不通了?
    • ¥20 求各位懂行的人,注册表能不能看到usb使用得具体信息,干了什么,传输了什么数据
    • ¥15 Vue3 大型图片数据拖动排序
    • ¥15 Centos / PETGEM
    • ¥15 划分vlan后不通了
    • ¥20 用雷电模拟器安装百达屋apk一直闪退
    • ¥15 算能科技20240506咨询(拒绝大模型回答)