m0_62170425 2023-05-17 16:20 采纳率: 0%
浏览 15

verilog testbench ce了

边写verilog的testbench如下:
会compile error,为什么?


```vb.net
module top_module ( output reg A, output reg B );//
    initial begin
        A=1'b0;
       B=1'b0;
    end
    #10 A=~A;
    #5 B=~B;
    #5 A=~A;
    #20 B=~B;
    
endmodule

```

  • 写回答

1条回答 默认 最新

  • 「已注销」 2023-05-17 16:22
    关注

    参考GPT和自己的思路:根据提供的代码,编译会出现错误,可能是因为没有声明任何输入。在module声明前加入输入声明即可解决该问题,例如加入以下声明:

    input clk;
    

    同时,assign语句可以用来减少代码量:

    assign A = $time % 20 < 10 ? 1'b0 : 1'b1;
    assign B = $time % 30 < 15 ? 1'b0 : 1'b1;
    

    上述代码中,assign语句根据系统时间计算A和B的输出值。

    评论

报告相同问题?

问题事件

  • 创建了问题 5月17日

悬赏问题

  • ¥15 如何在maya程序中利用python编写领子和褶裥的模型的方法
  • ¥15 Linux权限管理相关操作(求解答)
  • ¥15 Bug traq 数据包 大概什么价
  • ¥15 在anaconda上pytorch和paddle paddle下载报错
  • ¥25 自动填写QQ腾讯文档收集表
  • ¥15 DbVisualizer Pro 12.0.7 sql commander光标错位 显示位置与实际不符
  • ¥15 求一份STM32F10X的I2S外设库
  • ¥15 android 打包报错
  • ¥15 关于stm32的问题
  • ¥15 ncode振动疲劳分析中,noisefloor如何影响PSD函数?