u010785238 2015-05-14 15:16 采纳率: 0%
浏览 8428

vhdl语言SIGNAL i : integer range 0 to 31;

如果有这样一个定义后面一直i++,加到31之后再加会怎么样,,一直i++会自动有从零开始循环吗

  • 写回答

1条回答

  • 我加班特长 2015-11-18 02:22
    关注

    这个range本身没有硬件意义,它只能让分析综合的时候不报错,形成完整的逻辑描述。至于会不会到0重新加上去,具体得看你代码是怎么写得了,range只是一个信号声明

    评论

报告相同问题?

悬赏问题

  • ¥15 Power query添加列问题
  • ¥50 Kubernetes&Fission&Eleasticsearch
  • ¥15 有没有帮写代码做实验仿真的
  • ¥15 報錯:Person is not mapped,如何解決?
  • ¥30 vmware exsi重置后登不上
  • ¥15 易盾点选的cb参数怎么解啊
  • ¥15 MATLAB运行显示错误,如何解决?
  • ¥15 c++头文件不能识别CDialog
  • ¥15 Excel发现不可读取的内容
  • ¥15 关于#stm32#的问题:CANOpen的PDO同步传输问题