beijipangxiongmao 2017-11-27 15:58 采纳率: 0%
浏览 4932

verilog4位加法器仿真

v程序为module add_4(X,Y,sum,C);
input[3:0] X,Y;
output[3:0] sum;
output C;
assign {C, Sum }=X+Y;
endmodule
要使用modelsim仿真,生成的vt文件应该怎么修改?
`timescale 1 ps/ 1 ps
module add_4_vlg_tst();
// constants

// general purpose registers

// test vector input registers
reg clk;
reg [3:0] X;
reg [3:0] Y;
// wires

wire C;
wire [3:0] sum;

// assign statements (if any)

add_4 i1 (
// port map - connection between master ports and signals/registers

.C(C),
.X(X),
.Y(Y),
.sum(sum)
);
initial

begin

// code that executes only once

// insert code here --> begin

// --> end

$display("Running testbench");

end

always

// optional sensitivity list

// @(event1 or event2 or .... eventn)

begin

// code executes for every event on sensitivity list

// insert code here --> begin

// --> end

end

endmodule

  • 写回答

1条回答 默认 最新

  • xkw168 2018-01-11 10:01
    关注

    `timescale 1 ps/ 1 ps
    module add_4_vlg_tst();
    // constants

    // general purpose registers
    // test vector input registers
    reg clk;
    reg [3:0] X;
    reg [3:0] Y;
    // wires

    wire C;
    wire [3:0] sum;
    // assign statements (if any)

    add_4 i1 (
    // port map - connection between master ports and signals/registers

    .C(C),
    .X(X),
    .Y(Y),
    .sum(sum)
    );
    initial begin
    X = 1;
    Y = 8;
    repeat(10) begin
    #100
    $display("X = %4b,Y = %4b",X,Y);
    X = X + 1;

    end

    end

    endmodule

    
    
    评论

报告相同问题?

悬赏问题

  • ¥15 执行 virtuoso 命令后,界面没有,cadence 启动不起来
  • ¥50 comfyui下连接animatediff节点生成视频质量非常差的原因
  • ¥20 有关区间dp的问题求解
  • ¥15 多电路系统共用电源的串扰问题
  • ¥15 slam rangenet++配置
  • ¥15 有没有研究水声通信方面的帮我改俩matlab代码
  • ¥15 ubuntu子系统密码忘记
  • ¥15 保护模式-系统加载-段寄存器
  • ¥15 电脑桌面设定一个区域禁止鼠标操作
  • ¥15 求NPF226060磁芯的详细资料