weixin_43535753 2018-10-27 14:44 采纳率: 0%
浏览 2351

verilog语言关于memory的问题

写了一个关于buffer来输入输出的代码。
完善的功能是先给buffer里面输入6个4-bit的数值,然后在一次串行输出这6个4-bit的值。
定义reg[3:0] buffer[0:5]以后,输入为int,输出为out。
如果向往里面输入是 buffer[0][3:0]<=int;
buffer[1][3:0]<=int;
...
...
...
输出为out<=buffer[4][3:0]
是这样写吗?才接触verilog,求大神解答,靴靴!

  • 写回答

1条回答

  • zqbnqsdsmd 2018-10-31 14:42
    关注
    评论

报告相同问题?

悬赏问题

  • ¥15 c程序不知道为什么得不到结果
  • ¥40 复杂的限制性的商函数处理
  • ¥15 程序不包含适用于入口点的静态Main方法
  • ¥15 素材场景中光线烘焙后灯光失效
  • ¥15 请教一下各位,为什么我这个没有实现模拟点击
  • ¥15 执行 virtuoso 命令后,界面没有,cadence 启动不起来
  • ¥50 comfyui下连接animatediff节点生成视频质量非常差的原因
  • ¥20 有关区间dp的问题求解
  • ¥15 多电路系统共用电源的串扰问题
  • ¥15 slam rangenet++配置