初 末 2022-04-07 11:00 采纳率: 75.7%
浏览 489
已结题

vivado怎么用来抓取仿真信号并给matlab处理?

我想把vivado中行为仿真得到的数据保存出来,用matlab读取进行计算来查看vivado生成波形对不对,应该怎么弄呢?

  • 写回答

1条回答 默认 最新

  • 老皮芽子 2022-04-07 11:20
    关注

    verilog 有对文件读写的函数,可以将仿真波形中需要的数据写入文件。

    本回答被题主选为最佳回答 , 对您是否有帮助呢?
    评论

报告相同问题?

问题事件

  • 系统已结题 5月20日
  • 已采纳回答 5月12日
  • 创建了问题 4月7日

悬赏问题

  • ¥15 metadata提取的PDF元数据,如何转换为一个Excel
  • ¥15 关于arduino编程toCharArray()函数的使用
  • ¥100 vc++混合CEF采用CLR方式编译报错
  • ¥15 coze 的插件输入飞书多维表格 app_token 后一直显示错误,如何解决?
  • ¥15 vite+vue3+plyr播放本地public文件夹下视频无法加载
  • ¥15 c#逐行读取txt文本,但是每一行里面数据之间空格数量不同
  • ¥50 如何openEuler 22.03上安装配置drbd
  • ¥20 ING91680C BLE5.3 芯片怎么实现串口收发数据
  • ¥15 无线连接树莓派,无法执行update,如何解决?(相关搜索:软件下载)
  • ¥15 Windows11, backspace, enter, space键失灵