关注
码龄
粉丝数
原力等级 --
被采纳
被点赞
采纳率
qq_57106849
2022-05-10 22:16
浏览 55
首页
编程语言
已结题
Quartus Ⅱ仿真错误
开发语言
eclipse
pycharm
仿真时出现这个无法进行仿真。
前几天用的时候还能进行仿真。刚刚进行的项目无法正常进行仿真。
收起
写回答
好问题
0
提建议
追加酬金
关注问题
微信扫一扫
点击复制链接
分享
邀请回答
编辑
收藏
删除
收藏
举报
追加酬金
(90%的用户在追加酬金后获得了解决方案)
当前问题酬金
¥
0
(可追加 ¥500)
支付方式
扫码支付
加载中...
支付金额
15
元
提供问题酬金的用户不参与问题酬金结算和分配
支付即为同意
《付费问题酬金结算规则》
0
条回答
默认
最新
查看更多回答(-1条)
向“C知道”追问
报告相同问题?
提交
关注问题
Quartus
无法
仿真
fpga开发
开发语言
2022-08-08 15:25
回答 1
已采纳
俺自己解决啦!是在路径后面加上一个/就可以了
在
quartus
II软件上基于VHDL语言实现八路彩灯的
仿真
开发语言
2023-03-09 10:53
回答 6
已采纳
该回答引用GPTᴼᴾᴱᴺᴬᴵ以下是基于 VHDL 语言实现八路彩灯的
仿真
代码: library IEEE; use IEEE.STD_LOGIC_1164.ALL; use IEEE.STD_LOGI
在
quartus
II软件上基于Verilog HDL语言实现八路彩灯的
仿真
(用module)
开发语言
2023-03-10 01:19
回答 5
已采纳
该回答引用GPTᴼᴾᴱᴺᴬᴵ以下是一个基于Verilog HDL语言实现八路彩灯的
仿真
,并使用了module模块的例子: module ColorLightController( input
FPGA课程实验报告(使用
Quartus
Ⅱ&Verilog语言开发)
2022-06-01 10:06
河上七月的博客
本文是大规模可编程器件(FPGA)的课程实验报告,内容偏操作部分的讲解,可以当半个实验指导书用。... 系统环境:Windows 10; 硬件环境:Altera Cyclon Ⅲ;...
编程语言
:Verilog;
仿真
环境:ModelSim 10.1
quartus
II13.1版本中
仿真
波形界面找不到simulation setting怎么解决
fpga开发
2022-04-27 14:06
回答 2
已采纳
没有你这个版本的软件,试试assignments--setting,这个页面有没有。
仿真
基本都是用modelsim,很少有人用自带
仿真
器
quartus
lite版verilog编译无问题,
仿真
出现
错误
指令
fpga开发
嵌入式硬件
硬件工程
2022-01-22 18:26
回答 1
已采纳
知道了。这里的报错是指的是
仿真
时的do文件,而不是代码本身。在改上一个error时,把do文件里第5行代码删了,所以出现了该报错。并不是要删整行,是只需要删-novopt
Quartus
使用verilog语言实现脉冲按键电话显示,
fpga开发
帮助专区
2023-04-13 23:58
回答 5
已采纳
引用new bing部分回答作答:以下是满足上述功能的Verilog代码示例: module phone_display( input wire clk, //时钟信号
关于VHDL语言书写格式的学习(使用
quartus
Ⅱ)
2022-01-29 20:17
天才糊涂蛋的博客
首先要明白VHDL是硬件语言,相较于c语言等
编程语言
较为死板,有某些特定的要求,比如你选用的芯片,它的逻辑单元数量是固定的,所以你编写的代码所用的逻辑单元不能超过它固有的逻辑单元。 其次要明白我们学习VHDL...
quartus
编译都成功了引脚分配搜索不到输出怎么回事
嵌入式硬件
开发语言
有问必答
2021-10-26 16:53
回答 1
已采纳
很久没用
quartus
了,看你的问题应该是 top 顶层没有设置正确,应该把原理图这层设置成 top
用
quartus
2
仿真
,出现了83个warning,人都麻了(好像有软件破解的问题)
fpga开发
2022-03-23 21:05
回答 2
已采纳
破解文件与安装文件不匹配导致的。需要下载对应的破解工具
verilog语言做呼吸灯
仿真
,为啥
仿真
结果中有的变量一开始没有显示?
fpga开发
测试工具
2022-03-29 21:58
回答 2
已采纳
代码没问题能
仿真
,可能是
仿真
软件安装设置问题led 输出非常窄的脉冲,
仿真
能看见,实际在板子上 LED 几乎不亮。需要改
FPGA学习--
Quartus
Ⅱ实现3-8译码器设计
2023-10-14 00:53
m0_74460671的博客
第三栏为顶层名,一般在写了第二栏之后第三栏会自动与第二栏...全部弄好之后点击Next4、这个是添加设计代码的地方,不用管它,直接点击Next5、这个页面主要是选择开发芯片型号,而我们只需要
仿真
,所以直接点Next就行。
Quartus
调用Modelsim时出错(还未找到
错误
“模板”)
fpga开发
2022-04-06 20:54
回答 1
已采纳
已经解决了,附上大神链接,是管理员权限问题。https://blog.csdn.net/qq_59529218/article/details/120577875
Quartus
Ⅱ 11.0的数字跑表的设计与
仿真
2015-01-26 21:29
stm32f4的博客
根据目前国内外流行的可编程逻辑器件设计趋势,本文选择了美国Altera公司的
Quartus
Ⅱ 11.0开发软件作为平台,介绍了
Quartus
Ⅱ软件设计特点、典型的设计流程,以及引入具体的数字跑表的设计并进行了
仿真
,其结果...
7天搞定FPGA精录&总结Episode.4 复杂运算,板级体验【基于Robei与Altera
Quartus
Ⅱ】
2020-05-12 21:45
笙歌散尽的博客
name PLL_C1 \ -multiply_by 2 \ -source [get_pins {PLL|altpll_component|pll|inclk[0]}] \ [get_pins {PLL|altpll_component|pll|clk[1]}] 至于PLL_ip core的调用,既可在
Quartus
Ⅱ中,也可在Modelsim
仿真
中。...
Quartus
Ⅱ+Modelsim:error loading design
错误
2023-02-03 19:21
唐斐的博客
Quartus
Ⅱ+Modelsim:error loading design
错误
7天搞定FPGA精录&总结 Episode.3 动手实战,板上点灯【基于Robei与Altera
Quartus
Ⅱ】
2020-05-12 17:09
笙歌散尽的博客
而且我发现由于我的计算
错误
,实际上这个系列只需要六篇文章就可以谈到串口通信的内容(完成我最初的计划),但是呢Flag既然已经立好,我预备在Ep.7的时候仔细分析一下我在这次数电系统设计课程结课汇报中,与同班...
7天搞定FPGA精录&总结Episode.5 认识协议,操作接口【基于Robei、Altera
Quartus
Ⅱ与Modelsim】
2020-05-13 11:44
笙歌散尽的博客
endmodule //fifo_tb 将工程创建完成,便可以进行
仿真
波形查看,确认无误后,复制到
Quartus
Ⅱ,进行分析、管脚约束、综合、下载的工作即可在FPGA开发板上进行实验了。 二、借助
Quartus
Ⅱ内含FIFO_ip核: 1、fifo_ip...
7天搞定FPGA精录&总结Episode.6 串口通信,系统设计【基于Robei、Altera
Quartus
Ⅱ与Python】
2020-05-13 22:05
笙歌散尽的博客
之前参加&组织学校排球比赛的时候,商量到我们可以学习那些正规排球大赛一样,决赛的时候在学校体育馆进行,而且我可以在一旁准备一点EDM热歌作为暖场音乐。然后就有同学用一脸奇怪的表情问我:“你难道要现场...
Verilog HDL学习笔记-“Failed to access library ‘LED_flash_tb‘ at “LED_flash_tb“” and“Could not find ‘wor”
2021-03-01 10:00
Cidemeb的博客
quartus
Ⅱ 调用modelsim-altera
仿真
编译器
错误
解释
错误
1: Error: (vsim-19) Failed to access library “LED_flash_tb” at “LED_flash_tb” 如上图,之前在网上寻找答案,有说通过删除library中的work文件以及...
没有解决我的问题,
去提问
向专家提问
向AI提问
◇ 用户帮助中心
◇《冲榜分奖金》活动下线公告 及AIGC类回答处理措施
◇ 新手如何提问
◇ 奖惩公告
问题事件
关注
码龄
粉丝数
原力等级 --
被采纳
被点赞
采纳率
系统已结题
5月18日
关注
码龄
粉丝数
原力等级 --
被采纳
被点赞
采纳率
创建了问题
5月10日
悬赏问题
¥15
phython读取excel表格报错 ^7个 SyntaxError: invalid syntax 语句报错
¥20
@microsoft/fetch-event-source 流式响应问题
¥15
ogg dd trandata 报错
¥15
高缺失率数据如何选择填充方式
¥50
potsgresql15备份问题
¥15
Mac系统vs code使用phpstudy如何配置debug来调试php
¥15
目前主流的音乐软件,像网易云音乐,QQ音乐他们的前端和后台部分是用的什么技术实现的?求解!
¥60
pb数据库修改与连接
¥15
spss统计中二分类变量和有序变量的相关性分析可以用kendall相关分析吗?
¥15
拟通过pc下指令到安卓系统,如果追求响应速度,尽可能无延迟,是不是用安卓模拟器会优于实体的安卓手机?如果是,可以快多少毫秒?