阮小唐 2022-03-17 22:41 采纳率: 100%
浏览 131
已结题

VHDL仿真不出波形

自己写了一个逻辑与的程序,编译程序各项都没有问题,就是仿真不出波形。
看了您的教程,一步一步做的都没有问题,但还是不出波形。
求解答

img

img

img

img

  • 写回答

0条回答 默认 最新

    报告相同问题?

    问题事件

    • 系统已结题 3月25日
    • 创建了问题 3月17日

    悬赏问题

    • ¥15 Python如何爬取post请求头的数据
    • ¥15 发现哔哩哔哩的粉丝只能显示前5页能不能爬出来
    • ¥15 oracle数据库求解
    • ¥15 c++ websocketpp连接币安 有报错,有偿求解
    • ¥20 提供开发板完整sdk文件,升级开发板函数库
    • ¥15 网页视频播放,无法播放
    • ¥15 pycharm时import matplotlib报错value error
    • ¥15 YOLO 5 打包 文件太大
    • ¥15 被google屏蔽ip
    • ¥20 如何用python中的pdfplumber提取pdf中的加粗字体文本