m0_75051573 2022-11-08 23:33
浏览 15
已结题

描述jk触发器功能的vhdl语言有错误

求问这个描述jk触发器的vhdl语言哪里出错了😭
library ieEe;
use ieEe.std_logic_1164.all;
use ieEe.std_logic_unsigned.all;
use ieEe.std_logic_arith.all;
entity jk1 is
port(j,k,clk:in std_logic;
q,nq:buffer std_logic);
end jk1;
architecture bh of jk1 is
begin
process(clk)
begin
if falling_edge(clk) then
if j='0'and k='1'then
q<='0';
nq<='1';
else if j='1'and k='0'then
q<='1';
nq<='0';
else if j='1'and k='1'then
q<=not q;
nq<=not nq;
else if j='0'and k='0'then
q<=q;
nq<=nq;
end if;
end if;
end process;
end bh;

img

  • 写回答

0条回答 默认 最新

    报告相同问题?

    问题事件

    • 系统已结题 11月16日
    • 创建了问题 11月8日

    悬赏问题

    • ¥15 esp32驱动GC9A01循环播放视频
    • ¥15 惠普360g9的最新bios
    • ¥15 配置hadoop时start-all.sh老是启动失败
    • ¥30 这个功能用什么软件发合适?
    • ¥60 微信小程序,取消订单,偶尔订单没有改变状态
    • ¥15 用pytorch实现PPO算法
    • ¥15 关于调制信号的星座图?
    • ¥30 前端传参时,后端接收不到参数
    • ¥15 这是有什么问题吗,我检查许可证了但是显示有呢
    • ¥15 机器学习预测遇到的目标函数问题