weixin_44526550 2019-10-17 20:02 采纳率: 0%
浏览 253

vhdl点阵只亮一个,无法扫描?

library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_arith.all;
use ieee.std_logic_unsigned.all;
entity ledlie is

port (clk:in std_logic;
hang: out std_logic_vector (15 downto 0);
liem: out std_logic_vector (3 downto 0));
end ledlie;
architecture behave of ledlie is
begin
process(clk)

variable ql:std_logic_vector(3 downto 0);

begin

if rising_edge(clk) then
if(ql="1111") then
ql:="0000";
else
ql := ql +1;
end if;
end if;
liem <=ql;
hang <="1011111111111111";
end process;
end behave;

一段遍历扫描点阵的程序,liem为四 十六译码器输入端 控制点阵的列,程序想实现一行亮,但是只有一个亮,请大神帮忙。

  • 写回答

1条回答 默认 最新

  • greatofdream 2019-10-18 01:36
    关注

    你的hang是控制对应某一行亮吗?看你的控制端是高电平还是低电平亮灯,如果是高电平,看起来hang会使得只有一个灯不亮,如果是低电平,那就只有一个灯亮。

    题主还是把你的电路图一起发上来为好,并且具体描述你现在的亮灯状况。

    评论

报告相同问题?

悬赏问题

  • ¥15 程序不包含适用于入口点的静态Main方法
  • ¥15 素材场景中光线烘焙后灯光失效
  • ¥15 请教一下各位,为什么我这个没有实现模拟点击
  • ¥15 执行 virtuoso 命令后,界面没有,cadence 启动不起来
  • ¥50 comfyui下连接animatediff节点生成视频质量非常差的原因
  • ¥20 有关区间dp的问题求解
  • ¥15 多电路系统共用电源的串扰问题
  • ¥15 slam rangenet++配置
  • ¥15 有没有研究水声通信方面的帮我改俩matlab代码
  • ¥15 ubuntu子系统密码忘记