耶比大雄 2022-06-15 19:30 采纳率: 100%
浏览 6
已结题

VHDL语言怎么处理这个问题,求解答

img


各位,这里我想用VHDL语言来实现,中间变量怎么处理呢?
以下是我的代码,希望各位求解。


library ieee;
use ieee.std_logic_1164.all;
entity d is
port(a,b,enable:in std_logic_1164;
   z0,z1,z2,z3: out std_logic_1164);
    
end d;
architecture qimo of dzl is
signal x,y:std_logic;

begin
process(a,b,enable) 
            x <= not a;
            y <= not b;
z0<= enable nand x nand y;
z1<= enable nand x nand b;
z2<= enable nand a nand y;
z3<= enable nand a nand b;
end qimo 
  • 写回答

0条回答 默认 最新

    报告相同问题?

    问题事件

    • 系统已结题 6月23日
    • 创建了问题 6月15日

    悬赏问题

    • ¥15 程序实在不会写,要秃了
    • ¥15 pycharm导入不了自己的包
    • ¥15 C#.net通过内网url地址获取文件并下载问题,浏览器postman可以正常下载,用程序不行
    • ¥15 本人本科机械,目前研一。没有深度学习基础,目前对研究生课题一片迷茫,请教各位!
    • ¥15 关于R语言单因素与多因素线性回归的平均值
    • ¥15 服务器清除BIOS之后引导不了
    • ¥15 CPLEX用OPL编写的混合整数线性优化问题。
    • ¥15 可以用EasyConnect连接实验室内网,但无法连接内网才能访问的服务器,为什么?
    • ¥15 前端预览docx文件,文件从后端传送过来。
    • ¥15 层次聚类和蛋白质相似度