白沐沐vccc 2022-09-30 19:31 采纳率: 96.2%
浏览 45

verilog中reg赋值报错

刚接触verilog语言,不知道为什么reg在always外赋值就会报错,而wire赋值就不报错,请问这是为什么,谢谢

img

img

  • 写回答

1条回答 默认 最新

  • 老皮芽子 2022-09-30 19:42
    关注

    verilog 语法就是这样规定的
    在早期主要是用来区分寄存器和线条
    在 system verilog 中,可以只用 logic 这种类型。就可以不用 reg wire

    评论

报告相同问题?

问题事件

  • 创建了问题 9月30日

悬赏问题

  • ¥15 这个main已经在filename.obj中定义是什么错 C语言
  • ¥15 关于#linux#的问题:exsi8.0系统 怎么更改web访问端口,不用80、443
  • ¥15 使用elementor设计样式
  • ¥15 谁能提供一个中文版的推销咨询网站连接?
  • ¥15 springboot项目程序启动报错
  • ¥15 grlb复位后关闭硬限位开关,移动中仍然会触发停止。
  • ¥20 微信平台收付通的相关问题
  • ¥15 grbl复位后,移动会触发报警Alarm 1
  • ¥15 grbl为何无法移动到比复位坐标更小的坐标?
  • ¥15 pspice找元件和一个问题