阮小唐 2022-03-14 17:32 采纳率: 100%
浏览 21
已结题

用VHDL时出现仿真问题

用VHDL写了一个逻辑 与,程序编译哪里都没有问题,就是最后无法仿真出波形。
请各位帮忙解答!

img

img

img

  • 写回答

0条回答 默认 最新

    报告相同问题?

    问题事件

    • 系统已结题 3月22日
    • 创建了问题 3月14日

    悬赏问题

    • ¥15 发现在MSVS2022中”#pragma once“不起作用
    • ¥20 websphere中间件服务器无法启动,系统无法创建soap连接器,如何解决?
    • ¥15 实时声纹降噪算法进行无关说话人语音抑制
    • ¥15 Python如何爬取post请求头的数据
    • ¥100 adb针对解决手机锁屏问题(相关搜索:手机锁屏)
    • ¥15 发现哔哩哔哩的粉丝只能显示前5页能不能爬出来
    • ¥15 oracle数据库求解
    • ¥15 c++ websocketpp连接币安 有报错,有偿求解
    • ¥20 提供开发板完整sdk文件,升级开发板函数库
    • ¥15 网页视频播放,无法播放